Reactive Ion Etching (RIE)

Size: px
Start display at page:

Download "Reactive Ion Etching (RIE)"

Transcription

1 Reactive Ion Etching (RIE) RF ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1

2 2

3 Remote Plasma Reactors Plasma Sources (1) Transformer Coupled Plasma (TCP) (2) Electron Cyclotron Resonance (ECR) e.g. quartz plasma coils wafers -bias Pressure pump1mtorr 10mTorr bias~ 1kV 3

4 Processes Occurring in Plasma Etching

5 Synergism of ion bombardment AND chemical reaction give the high RIE rates. 5

6 REMOVAL of surface film and DEPOSITION of plasma reaction products can occur simultaneously 6

7 RIE Etching Sequence gas flow diffusion of reactant absorption diffusion of by product desorption 4 3 X chemical reaction gaseous by products Substrate 7

8 Volatility of Etching Product * Higher vapor pressure * e. g. Si 4 F SiF 4 higher volatility (high vapor pressure) e. g. Cu Cl CuCl (low vapor pressure ) Example Difficult to RIE Al-Cu alloy with high Cu content mask Al-Cu Metal Do not want CuCl residues 8

9 Vapor pressure of by-product has to be high P P0 e P H v kt Example Difficult to RIE Al-Cu alloy with high Cu content 1500oC CuCl AlCl3 1~2% typical 200oC 1/T [Al-Cu alloy] Cl2 as etching gas. 9

10 10

11 Examples Use CF4 gas For etching Si * CF4 F CF3 3 * CF4 e CF F 2e * Si 4F SiF4 F* are Fluorine atoms with electrons 11

12 12

13 Aluminum + * CCl4 e CCl3 Cl 2e * Al 3Cl AlCl3 Photoresist C x H y Oz O2 COx HOx 13

14 How to Control Anisotropy? 1) ionic bombardment to damage expose surface. 2) sidewall coating by inhibitor prevents sidewall etching. 14

15 This exaggerated picture shows a passivation layer so thick that it can peel off from the sidewall Photoresist on top of Si Si Sidewall passivation films HCl/O2/BCl3 chemistry 15

16 How to Control Selectivity? E.g. SiO2 etching in CF4+H2 plasma Rate SiO2 S Rate Si S Rates P.R. SiO2 Si SiO2 Si Reason: F * %H2 in (CF4+H2) H HF F SiF H 2% 4 * content 16

17 Example: Si etching in CF4+O2 mixture Rates 1 Reason: Si (1)O CF x COF x F * 2 F * increases Si etching rate ( 2 )Si O 2 SiO 2 rate SiO2 %O2 in CF4 Poly-Si Oxide 17

18 For reference only 18

19 Effect of RIE process variables on etching characteristics Control variable effect 19

20 Temperature Dependence of Selectivity R1 A1e Q1 R2 A2 e kt Q2 R= etching rates A = proportional constants Q = activation energies kt R1 A1 Q1 Q2 kt S e R2 A2 S if Q1<Q2 77oK 1/T 20

21 Example: RIE of Aluminum Lines * It is a three-step sequence : 1) Remove native oxide with BCl3 2) Etch Al with Cl-based plasma 3) Protect fresh Al surface with thin oxidation 2 1 BCl3 Cl2-based RIE P.R. native Al2O3 Al 3 Al Form oxide again (gently) Al 21

22 Example: Etching of Deep Trenches ~1 m mask erosion mask mask ballooning Si trenching by-product residue ideal problems 22

23 Approaches to minimize deep trench etching problems Ballooning: Use chemistry with a good sidewall inhibitor. Trenching: -Use high pressure to increase ion-neutral scattering (ion trajectory less directional) Bottom Roughness: Increase vapor pressure of etching byproduct. 23

24 Hard Mask for Etching RIE 1 RIE 2 Photoresist oxide poly To minimize CD distortion, sometimes a two-step RIE process is used. Example: Process 1 to transfer pattern from resist; followed by Process 2 to transfer pattern from oxide to poly. EE243S2010 Lec22 24

25 A better Solution: Multiple step RIE sequence 25

26 EE243S2010 Lec22 26

27 * Can etch through whole Si wafer thickness 27

28 Local Loading Effect Less etchant consumption More etchant consumption Wsmall Wlarge 28

29 RIE Lag * smaller trenches etch at a slower rate than larger trenches. CCl2F2/O2 RIE 29

30 30

31 Etching Profile Simulation ( For reference only Material and Isotropic and Directional Etch rates in nm/s Resist Oxide Silicon Substrate Many features such as mask erosion, sidewall angles, undercut, etc. can be predicted from the simple etching models. Q = sin-1 (5.0/( )) = 17.4o Angle appears larger due to unequal scales in x and y.

32 SUMMARY OF ETCH MODULE Etch Bias, Degree of Anisotropy, Etch Selectivity Worst-case considerations for etching Wet etch qualitative KOH/EDP etch of Si (anisotropic) Reactive Ion Etch equipment- qualitative Synergism of ion bombardment and chemical etching Selectivity Control - Gas mixture, Temperature Anisotropy Control Inhibitor deposition, Substrate bombardment RIE examples: Aluminum, deep trench etching. Pattern and Aspect ratio Dependence - qualitative

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C. Etching Etching Terminology Etching Considerations or ICs Wet Etching Reactie Ion Etching (plasma etching) 1 Etch Process - Figures o Merit Etch rate Etch rate uniormity Selectiity Anisotropy 2 (1) Bias

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching 課程名稱 : 微製造技術 Microfabrication Technology 授課教師 : 王東安 Lecture 6 Etching 1 Lecture Outline Reading Campbell: Chapter 11 Today s lecture Wet etching Chemical mechanical polishing Plasma etching Ion milling

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Technology Excellence for Specialty Markets (and Etching Basics) Corporate Introduction

Technology Excellence for Specialty Markets (and Etching Basics) Corporate Introduction Technology Excellence for Specialty Markets (and Etching Basics) Corporate Introduction Semiconductor Equipment Manufacturing USA manufacturing Focus on high growth specialty markets Lab-to-Fab solutions

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 6: Process

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Copyright Warning & Restrictions

Copyright Warning & Restrictions Copyright Warning & Restrictions The copyright law of the United States (Title 17, United States Code) governs the making of photocopies or other reproductions of copyrighted material. Under certain conditions

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 1 MDL 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

EE 434 Lecture 7. Process Technology

EE 434 Lecture 7. Process Technology EE 434 Lecture 7 Process Technology Quiz 4 How many wafers can be obtained from a 2m pull? Neglect the material wasted in the kerf used to separate the wafers. 2m And the number is. 1 8 3 5 6 4 9 7 2 1

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

by G. S. Mathad, D. W. Hess, and M. Meyyappan

by G. S. Mathad, D. W. Hess, and M. Meyyappan by G. S. Mathad, D. W. Hess, and M. Meyyappan During the last quarter century or so, plasma processing has become a critical industrial technology for the development and manufacture of semiconductor devices.

More information

Ion beam lithography

Ion beam lithography Ion beam lithography Progress in ion technology spot size

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Citation Bram Lips, Robert Puers, (2016), Three step deep reactive ion etch for high density trench etching Journal of Physics: Conference Series, 757, 012005. Archived version Author manuscript: the content

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT WRITE YOUR SOLUTIONS ON ONLY ONE SIDE OF EMPTY SOLUTION SHEETS

More information

Etching terminology. d mask. h film. film substrate. d film. bias B. anisotropy A. etch mask. B d f - d m (i.e., twice the undercut) A film

Etching terminology. d mask. h film. film substrate. d film. bias B. anisotropy A. etch mask. B d f - d m (i.e., twice the undercut) A film Etching terminology h ilm d mask etch mask ilm substrate d mask bias B B d - d m (i.e., twice the undercut) anisotropy A d ilm d ilm A ilm 1 - v l / v v v l lateral etch rate v v vertical etch rate or

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures Journal of Physics: Conference Series Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures To cite this article: Rizwan Malik et al 2011 J. Phys.: Conf. Ser.

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Nanofabrication of direct positioning atomic force microscope (AFM) probes and a novel method to attain controllable lift-off

Nanofabrication of direct positioning atomic force microscope (AFM) probes and a novel method to attain controllable lift-off Nanofabrication of direct positioning atomic force microscope (AFM) probes and a novel method to attain controllable lift-off by Shuo Zheng A thesis presented to University of Waterloo in the fulfillment

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information