Pipelining and Parallel Processing

Size: px
Start display at page:

Download "Pipelining and Parallel Processing"

Transcription

1 Pipelining and Parallel Processing Pipelining ---reduction in the critical path increase the clock speed, or reduce power consumption at same speed Parallel Processing ---multiple outputs are computed in parallel in a clock period effective sampling speed is increased reduction of power consumption 1

2 Pipelining and Parallel Processing (cont d) a n b(n) x n + + y(n) (a) A data path a n b(n 1) x n + y(n 1) + (b) 2-level pipelining a 2k b(2k) x 2k y(2k) + + a 2k + 1 b(2k + 1) x 2k + 1 y(2k + 1) + + (c) 2-level parallel processing 2

3 3-Tap FIR Filter x n h(0) h(1) h(2) + + y(n) T sample T M + 2T A 1 f sample T M + 2T A 3

4 Pipelined FIR Filter x n h(0) h(1) h(2) pipeline latches y(n 1) + + critical path= T M + T A increase in latency 4

5 Feed-forward Cutset Pipeline latches can only be placed across any feed-forward cutset of the graph Cutset: a set of edges of a graph such that if these edges are removed, the graph becomes disjoint. Feed-forward Cutset: ata move in the forward direction on all edges of the cutset. 5

6 ata-broadcast Structure Transposition theorem : flow reversal preserves the functionality of the system. x n h(2) h(1) h(0) + + y(n) critical path = T M + T A 6

7 Fine-Grain Pipelining x n m 1 m 1 m 1 m 2 m 2 m y(n 1) 7

8 Parallel Processing pipelining Parallel Processing duals of each other Both exploit concurrency available in the computation in different ways pipelining : independent sets of computations are computed in an interleaved manner parallel processing : use duplicate hardware 8

9 Block Processing x n SISO y(n) x 3k y(3k) x 3k + 1 y(3k + 1) MIMO x 3k + 2 y(3k + 2) Sequential System 3-Parallel System 9

10 3-Parallel 3-tap FIR Filter x 3k + 2 x 3k + 1 x 3k a b c + + y 3k + 2 x 3k 1 c a b + + y(3k + 1) : block delay T clk T M + 2T A x 3k 2 T itr = T sample = 1 L T clk 1 3 (T M + 2T A ) b c a + + y(3k) 10

11 Complete Parallel Processing System x(n) Serial-Parallel T/L converter x Lk + L 1 x Lk y Lk clock T MIMO system y Lk + L 1 clock T/L Parallel-Serial converter y(n) 11

12 Serial-Parallel Converter x(n) T x Lk + L 1 x Lk + 1 x(lk) 12

13 Parallel-Serial Converter y Lk + L 1 y Lk + 1 y(lk) T T T y(n) 13

14 Pipelining vs. Parallel Processing Chip 1 O/P pad Chip 2 I/P pad If critical path < I/O delay I/O bottleneck communication bound pipelining can no longer increase the speed. 14

15 Power issipation Propagation delay T pd = C chargev 0 k(v 0 V T ) 2 C charge : capacitance to be charged/discharged, i.e. capacitance along the critical path V 0 : supply voltage V T : threshold Power Consumption P = C total V 0 2 f C total : total capacitance of the circuit V 0 : supply voltage f: clock frequency 15

16 Pipelining for Low Power Pipelining reduces the critical path to 1 M capacitance C charge M If f is maintained, supply voltage can be reduced to βv 0 (0 < β < 1) P pip = C total β 2 V 0 2 f = β 2 P seq T seq = C chargev 0 k(v 0 V T ) 2 C charge T pip = M βv 0 k(βv 0 V T ) 2 M(βV 0 V T ) 2 =β(v 0 V T ) 2 16

17 Parallel Processing for Low Power L-parallel system increases the throughput L-times The clock period is increased to LT seq There is more time to charge the same capacitance The supply voltage can be reduced to βv 0 LT seq = C charge βv 0 k βv 0 V T 2 L βv 0 V T 2 =β(v 0 V T ) 2 P par = LC charge (βv 0 ) 2f L = β2 P seq 17

18 Combining Pipelining and Parallel Processing Pipelining reduces the capacitance to be charged/discharged in 1 clock period Parallel processing increases the clock period for charging/discharging the original capacitance. Propagation delay of the parallel-pipelined system LT pd = (C charge/m)βv 0 k(βv 0 V T ) 2 = LC chargev 0 k(v 0 V T ) 2 LM(βV 0 V T ) 2 =β(v 0 V T ) 2 18

19 Retiming Change the location of delay elements in a circuit without affecting the inputoutput characteristics. applications reduce the clock period reduce the number of registers reduce the power consumption 19

20 Retiming does not change the number of delays in a cycle. does not alter the iteration bound in a FG (1) (1) (2) 2 (2) critical path=3u.t. (1) 1 (2) (1) 4 (2) critical path=2u.t. 20

21 Cutset Retiming If cutset is removed, 2 disconnected subgraphs are created, which are labeled G 1, G 2 Add k delays to each edge from G 1 to G 2 Remove k delays from each edge from G 2 to G 1 21

22 Pipelining Special case of cutset retiming where there are no edges in the cutset from G 2 to G 1 Pipelining applies to graphs without loops These cutsets are referred to as feed-forward cutsets. 22

23 Slow-own Replace each delay in the FG with N delays to create N-slow version of the FG. N 1 null operations (or 0 samples) must be interleaved after each useful signal sample to preserve the functionality of the algorithm. 23

24 Retiming to Reduce the Clock Period stage lattice 101T A +2T M 2-slow version critical path 2 retimed version 2(2T A +2T M ) 24

25 Retiming for Register Minimization registers 7 registers 25

26 Exercise Consider the 4th-order FIR filter y n = h 0 x n + h 2 x n 2 + h 4 x(n 4). raw a topology for this filter such that the clock period is limited by 1 multiply-add time. Neither a broadcast structure nor new latches should be used. 2. An IIR filter y n = ay n 1 + x(n) can be rewritten as y n = a ay n 2 + x(n 1) + x n = a 2 y n 2 + ax n 1 + x(n). raw FGs for the two filters, and compare their iteration bounds. Retime the latter FG so that its iteration bound becomes minimum. 26

27 Exercise 12 (cont.) 3. For the filter below, a. What is the loop bound and the iteration bound? b. What is the critical path? c. Pipeline or retime the filter to achieve a critical path equal to the iteration bound. + a

Pipelining and Parallel Processing

Pipelining and Parallel Processing Pipelining and Parallel Processing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 010 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines

More information

Pipelining and Parallel Processing

Pipelining and Parallel Processing Pipelining and Parallel Processing Lan-Da Van ( 倫 ), Ph. D. Department of omputer Science National hiao Tung University Taiwan, R.O.. Spring, 007 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

DSP Design Lecture 5. Dr. Fredrik Edman.

DSP Design Lecture 5. Dr. Fredrik Edman. SP esign SP esign Lecture 5 Retiming r. Fredrik Edman fredrik.edman@eit.lth.se Fredrik Edman, ept. of Electrical and Information Technology, Lund University, Sweden-www.eit.lth.se SP esign Repetition Critical

More information

PIPELINING AND PARALLEL PROCESSING. UNIT 4 Real time Signal Processing

PIPELINING AND PARALLEL PROCESSING. UNIT 4 Real time Signal Processing PIPELINING AND PARALLEL PROCESSING UNIT 4 Real time Signal Proceing Content Introduction Pipeling of FIR Digital Filter Parallel proceing Low power Deign FIR Digital Filter A FIR Filter i defined a follow:

More information

Retiming. delay elements in a circuit without affecting the input/output characteristics of the circuit.

Retiming. delay elements in a circuit without affecting the input/output characteristics of the circuit. Chapter Retiming NCU EE -- SP VLSI esign. Chap. Tsung-Han Tsai 1 Retiming & A transformation techniques used to change the locations of delay elements in a circuit without affecting the input/output characteristics

More information

DSP Design Lecture 7. Unfolding cont. & Folding. Dr. Fredrik Edman.

DSP Design Lecture 7. Unfolding cont. & Folding. Dr. Fredrik Edman. SP esign Lecture 7 Unfolding cont. & Folding r. Fredrik Edman fredrik.edman@eit.lth.se Unfolding Unfolding creates a program with more than one iteration, J=unfolding factor Unfolding is a structured way

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

Chaper 4: Retiming (Tái định thì) GV: Hoàng Trang

Chaper 4: Retiming (Tái định thì) GV: Hoàng Trang ĐẠI HỌC QUỐC GIA TP.HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA KHOA ĐIỆN-ĐIỆN TỬ BỘ MÔN KỸ THUẬT ĐIỆN TỬ XỬ LÝ TÍN HiỆU SỐ VỚI FPGA Chaper 4: Retiming (Tái định thì) GV: Hoàng Trang Email: hoangtrang@hcmut.edu.vn

More information

Transformation Techniques for Real Time High Speed Implementation of Nonlinear Algorithms

Transformation Techniques for Real Time High Speed Implementation of Nonlinear Algorithms International Journal of Electronics and Communication Engineering. ISSN 0974-66 Volume 4, Number (0), pp.83-94 International Research Publication House http://www.irphouse.com Transformation Techniques

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

Signal Flow Graphs. Roger Woods Programmable Systems Lab ECIT, Queen s University Belfast

Signal Flow Graphs. Roger Woods Programmable Systems Lab ECIT, Queen s University Belfast Signal Flow Graphs Roger Woods r.woods@qub.ac.uk Programmable Systems Lab ECIT, Queen s University Belfast (Slides 2-13 taken from Signal Flow Graphs and Data Flow Graphs chapter by Keshab K. Parhi and

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Professor Fearing EECS150/Problem Set Solution Fall 2013 Due at 10 am, Thu. Oct. 3 (homework box under stairs)

Professor Fearing EECS150/Problem Set Solution Fall 2013 Due at 10 am, Thu. Oct. 3 (homework box under stairs) Professor Fearing EECS50/Problem Set Solution Fall 203 Due at 0 am, Thu. Oct. 3 (homework box under stairs). (25 pts) List Processor Timing. The list processor as discussed in lecture is described in RT

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

A VLSI DSP DESIGN AND IMPLEMENTATION O F ALL POLE LATTICE FILTER USING RETIMING METHODOLOGY

A VLSI DSP DESIGN AND IMPLEMENTATION O F ALL POLE LATTICE FILTER USING RETIMING METHODOLOGY A VLSI DSP DESIGN AND IMPLEMENTATION O F ALL POLE LATTICE FILTER USING RETIMING METHODOLOGY 1 PURU GUPTA & 2 TARUN KUMAR RAWAT Dept. of Electronics and Communication Engineering, Netaji Subhas Institute

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University it Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

UNIT - III PART A. 2. Mention any two techniques for digitizing the transfer function of an analog filter?

UNIT - III PART A. 2. Mention any two techniques for digitizing the transfer function of an analog filter? UNIT - III PART A. Mention the important features of the IIR filters? i) The physically realizable IIR filters does not have linear phase. ii) The IIR filter specification includes the desired characteristics

More information

Datapath Component Tradeoffs

Datapath Component Tradeoffs Datapath Component Tradeoffs Faster Adders Previously we studied the ripple-carry adder. This design isn t feasible for larger adders due to the ripple delay. ʽ There are several methods that we could

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

Lecture 19 IIR Filters

Lecture 19 IIR Filters Lecture 19 IIR Filters Fundamentals of Digital Signal Processing Spring, 2012 Wei-Ta Chu 2012/5/10 1 General IIR Difference Equation IIR system: infinite-impulse response system The most general class

More information

Discrete-Time Systems

Discrete-Time Systems FIR Filters With this chapter we turn to systems as opposed to signals. The systems discussed in this chapter are finite impulse response (FIR) digital filters. The term digital filter arises because these

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

LED Display Product Data Sheet LTM-0215F Spec No.: DS Effective Date: 11/12/2003 LITE-ON DCC RELEASE

LED Display Product Data Sheet LTM-0215F Spec No.: DS Effective Date: 11/12/2003 LITE-ON DCC RELEASE LED Display Product Data Sheet LTM-0215F Spec No.: DS30-2003-205 Effective Date: 11/12/2003 Revision: - LITE-ON DCC RELEASE BNS-OD-FC001/A4 LITE-ON Technology Corp. / Optoelectronics No.90,Chien 1 Road,

More information

Nyquist-Rate A/D Converters

Nyquist-Rate A/D Converters IsLab Analog Integrated ircuit Design AD-51 Nyquist-ate A/D onverters כ Kyungpook National University IsLab Analog Integrated ircuit Design AD-1 Nyquist-ate MOS A/D onverters Nyquist-rate : oversampling

More information

SOLUTIONS TO ASSIGNMENT NO The given nonrecursive signal processing structure is shown as

SOLUTIONS TO ASSIGNMENT NO The given nonrecursive signal processing structure is shown as SOLUTIONS TO ASSIGNMENT NO.1 3. The given nonreursive signl proessing struture is shown s X 1 1 2 3 4 5 Y 1 2 3 4 5 X 2 There re two ritil pths, one from X 1 to Y nd the other from X 2 to Y. The itertion

More information

FPGA Implementation of a Predictive Controller

FPGA Implementation of a Predictive Controller FPGA Implementation of a Predictive Controller SIAM Conference on Optimization 2011, Darmstadt, Germany Minisymposium on embedded optimization Juan L. Jerez, George A. Constantinides and Eric C. Kerrigan

More information

Design and Study of Enhanced Parallel FIR Filter Using Various Adders for 16 Bit Length

Design and Study of Enhanced Parallel FIR Filter Using Various Adders for 16 Bit Length International Journal of Soft Computing and Engineering (IJSCE) Design and Study of Enhanced Parallel FIR Filter Using Various Adders for 16 Bit Length D.Ashok Kumar, P.Samundiswary Abstract Now a day

More information

2D Critical path = 6. Retiming moving delays. Retiming - Pipelining. Delays can be moved from ALL inputs to ALL outputs

2D Critical path = 6. Retiming moving delays. Retiming - Pipelining. Delays can be moved from ALL inputs to ALL outputs SP esgn SP esgn Retmng movng delays Retmng elays can be moved from LL nputs to LL outputs Reduce Crtcal Path faster reduced power consumpton Reduced number of Regster Vktor Öwall, ept. of Electrcal and

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Signature: EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009 Robert Dick Show your work. Derivations are required for credit; end results are insufficient. Closed book. You may use

More information

Lecture 11 FIR Filters

Lecture 11 FIR Filters Lecture 11 FIR Filters Fundamentals of Digital Signal Processing Spring, 2012 Wei-Ta Chu 2012/4/12 1 The Unit Impulse Sequence Any sequence can be represented in this way. The equation is true if k ranges

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

UNIT V FINITE WORD LENGTH EFFECTS IN DIGITAL FILTERS PART A 1. Define 1 s complement form? In 1,s complement form the positive number is represented as in the sign magnitude form. To obtain the negative

More information

Digital Signal Processing Lecture 5

Digital Signal Processing Lecture 5 Remote Sensing Laboratory Dept. of Information Engineering and Computer Science University of Trento Via Sommarive, 14, I-38123 Povo, Trento, Italy Digital Signal Processing Lecture 5 Begüm Demir E-mail:

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download:

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

GMU, ECE 680 Physical VLSI Design

GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI esign Chapter IV esigning Sequential Logic Circuits (Chapter 7) 1 Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state 2 storage mechanisms positive

More information

I. Motivation & Examples

I. Motivation & Examples I. Motivation & Examples Output depends on current input and past history of inputs. State embodies all the information about the past needed to predict current output based on current input. State variables,

More information

Cost/Performance Tradeoffs:

Cost/Performance Tradeoffs: Cost/Performance Tradeoffs: a case study Digital Systems Architecture I. L10 - Multipliers 1 Binary Multiplication x a b n bits n bits EASY PROBLEM: design combinational circuit to multiply tiny (1-, 2-,

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning i Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

Lecture 7 - IIR Filters

Lecture 7 - IIR Filters Lecture 7 - IIR Filters James Barnes (James.Barnes@colostate.edu) Spring 204 Colorado State University Dept of Electrical and Computer Engineering ECE423 / 2 Outline. IIR Filter Representations Difference

More information

Hilbert Transformator IP Cores

Hilbert Transformator IP Cores Introduction Hilbert Transformator IP Cores Martin Kumm December 27, 28 The Hilbert Transform is an important component in communication systems, e.g. for single sideband modulation/demodulation, amplitude

More information

EE371 - Advanced VLSI Circuit Design

EE371 - Advanced VLSI Circuit Design EE371 - Advanced VLSI Circuit Design Midterm Examination May 7, 2002 Name: No. Points Score 1. 18 2. 22 3. 30 TOTAL / 70 In recognition of and in the spirit of the Stanford University Honor Code, I certify

More information

EEE2135 Digital Logic Design

EEE2135 Digital Logic Design EEE2135 Digital Logic Design Chapter 7. Sequential Circuits Design 서강대학교 전자공학과 1. Model of Sequential Circuits 1) Sequential vs. Combinational Circuits a. Sequential circuits: Outputs depend on both the

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register Description: The NTE74HC165 is an 8 bit parallel in/serial out shift register in a 16 Lead DIP type package

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

8-Ch/Dual 4-Ch High-Performance CMOS Analog Multiplexers

8-Ch/Dual 4-Ch High-Performance CMOS Analog Multiplexers 8-Ch/ual 4-Ch High-Performance CMOS Analog Multiplexers Low On-Resistance r S(on) : Low Charge Injection Q: pc Fast Transition Time t TRANS : 6 ns Low Power I SUPPLY : A Single Supply Capability 44-V Supply

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008 CSE 14 Midterm 2 Tajana Simunic Rosing Spring 28 Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page. Do not separate the pages.

More information

Fast Fir Algorithm Based Area- Efficient Parallel Fir Digital Filter Structures

Fast Fir Algorithm Based Area- Efficient Parallel Fir Digital Filter Structures Fast Fir Algorithm Based Area- Efficient Parallel Fir Digital Filter Structures Ms. P.THENMOZHI 1, Ms. C.THAMILARASI 2 and Mr. V.VENGATESHWARAN 3 Assistant Professor, Dept. of ECE, J.K.K.College of Technology,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Naming Conventions In our text: a latch is level sensitive

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

ELEG 305: Digital Signal Processing

ELEG 305: Digital Signal Processing ELEG 305: Digital Signal Processing Lecture 19: Lattice Filters Kenneth E. Barner Department of Electrical and Computer Engineering University of Delaware Fall 2008 K. E. Barner (Univ. of Delaware) ELEG

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

ENGR4300 Fall 2005 Test 3A. Name. Section. Question 1 (25 points) Question 2 (25 points) Question 3 (25 points) Question 4 (25 points)

ENGR4300 Fall 2005 Test 3A. Name. Section. Question 1 (25 points) Question 2 (25 points) Question 3 (25 points) Question 4 (25 points) ENGR4 Test A Fall 5 ENGR4 Fall 5 Test A Name Section Question (5 points) Question (5 points) Question (5 points) Question 4 (5 points) Total ( points): Please do not write on the crib sheets. On all questions:

More information

MM74C922 MM74C Key Encoder 20-Key Encoder

MM74C922 MM74C Key Encoder 20-Key Encoder MM74C922 MM74C923 16-Key Encoder 20-Key Encoder General Description The MM74C922 and MM74C923 CMOS key encoders provide all the necessary logic to fully encode an array of SPST switches. The keyboard scan

More information

Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL

Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL Simulation of Logic Primitives and Dynamic D-latch with Verilog-XL November 30, 2011 Robert D Angelo Tufts University Electrical and Computer Engineering EE-103 Lab 3: Part I&II Professor: Dr. Valencia

More information

Digital Signal Processing with Protein Molecules and DNA Strands

Digital Signal Processing with Protein Molecules and DNA Strands Digital Signal Processing with Protein Molecules and DNA Strands Keshab K. Parhi Electrical and Computer Engineering University of Minnesota, Minneapolis Nov. 10, 2010 Talk at EECS Dept., Berkeley Acknowledgements

More information

Parallel Multipliers. Dr. Shoab Khan

Parallel Multipliers. Dr. Shoab Khan Parallel Multipliers Dr. Shoab Khan String Property 7=111=8-1=1001 31= 1 1 1 1 1 =32-1 Or 1 0 0 0 0 1=32-1=31 Replace string of 1s in multiplier with In a string when ever we have the least significant

More information

R13 SET - 1

R13 SET - 1 R13 SET - 1 III B. Tech II Semester Regular Examinations, April - 2016 DIGITAL SIGNAL PROCESSING (Electronics and Communication Engineering) Time: 3 hours Maximum Marks: 70 Note: 1. Question Paper consists

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective igital Integrated Circuits A esign Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic esigning Sequential Logic Circuits November 2002 Sequential Logic Inputs Current State COMBINATIONAL LOGIC

More information

Pipelined and Parallel Recursive and Adaptive Filters

Pipelined and Parallel Recursive and Adaptive Filters VLSI Digital Sigal Processig Systems Pipelied ad Parallel Recursive ad Adaptive Filters La-Da Va 范倫達, Ph. D. Departmet of Computer Sciece Natioal Chiao ug Uiversity aiwa, R.O.C. Fall, 05 ldva@cs.ctu.edu.tw

More information

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1

Memory Elements I. CS31 Pascal Van Hentenryck. CS031 Lecture 6 Page 1 Memory Elements I CS31 Pascal Van Hentenryck CS031 Lecture 6 Page 1 Memory Elements (I) Combinational devices are good for computing Boolean functions pocket calculator Computers also need to remember

More information

Low Power and Low Complexity Shift-and-Add Based Computations

Low Power and Low Complexity Shift-and-Add Based Computations Linköping Studies in Science and Technology Dissertations, No. 2 Low Power and Low Complexity Shift-and-Add Based Computations Kenny Johansson Department of Electrical Engineering Linköping University,

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

DM74S373 DM74S374 3-STATE Octal D-Type Transparent Latches and Edge-Triggered Flip-Flops

DM74S373 DM74S374 3-STATE Octal D-Type Transparent Latches and Edge-Triggered Flip-Flops 3-STATE Octal D-Type Transparent Latches and Edge-Triggered Flip-Flops General Description These 8-bit registers feature totem-pole 3-STATE outputs designed specifically for driving highly-capacitive or

More information

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Clock Strategy. VLSI System Design NCKUEE-KJLEE Clock Strategy Clocked Systems Latch and Flip-flops System timing Clock skew High speed latch design Phase locked loop ynamic logic Multiple phase Clock distribution Clocked Systems Most VLSI systems are

More information

S6B CH SEGMENT / COMMON DRIVER FOR DOT MATRIX LCD

S6B CH SEGMENT / COMMON DRIVER FOR DOT MATRIX LCD 6B006 0 H EGENT / OON RIVER FOR OT ATRIX L June. 000. Ver. 0.0 ontents in this document are subject to change without notice. No part of this document may be reproduced or transmitted in any form or by

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 3 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe1/ CPE1: Digital Logic Design I Section 14: Dr. Morris Sequential Logic Design Chapter 3 Chapter

More information

Sequential Logic. Handouts: Lecture Slides Spring /27/01. L06 Sequential Logic 1

Sequential Logic. Handouts: Lecture Slides Spring /27/01. L06 Sequential Logic 1 Sequential Logic Handouts: Lecture Slides 6.4 - Spring 2 2/27/ L6 Sequential Logic Roadmap so far Fets & voltages Logic gates Combinational logic circuits Sequential Logic Voltage-based encoding V OL,

More information

Lecture 10: Sequential Networks: Timing and Retiming

Lecture 10: Sequential Networks: Timing and Retiming Lecture 10: Sequential Networks: Timing and Retiming CSE 140: Components and Design Techniques for Digital Systems Diba Mirza Dept. of Computer Science and Engineering University of California, San Diego

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each) Subject Code: 17333 Model Answer Page 1/ 27 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Latches. October 13, 2003 Latches 1

Latches. October 13, 2003 Latches 1 Latches The second part of CS231 focuses on sequential circuits, where we add memory to the hardware that we ve already seen. Our schedule will be very similar to before: We first show how primitive memory

More information

EE 508 Lecture 31. Switched Current Filters

EE 508 Lecture 31. Switched Current Filters EE 508 Lecture 31 Switched Current Filters Review from last time Current-Mode Filters I IN I 0 I 0 s+αi0 s I OUT T s 2 IOUT I 0 I 2 2 IN s + I0s+I 0 Basic Concepts of Benefits of Current-Mode Filters:

More information

VLSI System Design Part V : High-Level Synthesis(2) Oct Feb.2007

VLSI System Design Part V : High-Level Synthesis(2) Oct Feb.2007 VLSI System Design Part V : High-Level Synthesis(2) Oct.2006 - Feb.2007 Lecturer : Tsuyoshi Isshiki Dept. Communications and Integrated Systems, Tokyo Institute of Technology isshiki@vlsi.ss.titech.ac.jp

More information

Implementation of Discrete-Time Systems

Implementation of Discrete-Time Systems EEE443 Digital Signal Processing Implementation of Discrete-Time Systems Dr. Shahrel A. Suandi PPKEE, Engineering Campus, USM Introduction A linear-time invariant system (LTI) is described by linear constant

More information

EE141Microelettronica. CMOS Logic

EE141Microelettronica. CMOS Logic Microelettronica CMOS Logic CMOS logic Power consumption in CMOS logic gates Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information