Strained Si CMOS (SS CMOS) technology: opportunities and challenges

Size: px
Start display at page:

Download "Strained Si CMOS (SS CMOS) technology: opportunities and challenges"

Transcription

1 Solid-State Electronics 47 (23) Strained Si CMOS (SS CMOS) technology: opportunities and challenges K. Rim a, *, R. Anderson b, D. Boyd b, F. Cardone a, K. Chan a, H. Chen b, S. Christansen a, J. Chu a, K. Jenkins a, T. Kanarsky b, S. Koester a, B.H. Lee b, K. Lee a, V. Mazzeo b, A. Mocuta b, D. Mocuta b, P.M. Mooney a, P. Oldiges b, J. Ott a, P. Ronsheim b, R. Roy a, A. Steegen b, M. Yang a, H. Zhu b, M. Ieong b, H.-S.P. Wong a a IBM T.J. Watson Research Center, Yorktown Heights, NY 1598, USA b IBM Microelectronics SRDC, Hopewell Junction, NY 12533, USA Abstract Strain-induced enhancement of current drive is a promising way to extend the advancement of CMOS performance. Fabrication of strained Si MOSFET has been demonstrated with key elements of modern dayõs CMOS technology. Significant mobility and current drive enhancements were observed. Recent advancements in the SS devices are summarized, and the challenges in device physics/design issues as well as in materials/process integration are highlighted. Ó 23 Elsevier Science Ltd. All rights reserved. 1. Introduction Strained Si (SS) MOSFETs are device structures that take advantage of strain-induced enhancement of carrier transport in silicon. Fig. 1(a) illustrates the structure of strained Si MOSFETs. When a thin layer of Si is pseudomorphically grown on a thick, relaxed SiGe layer (Fig. 1(b)), the lattice constant of the Si film conforms to that of the SiGe layer, and the lattice mismatch between Si and SiGe leads to biaxial tensile strain in the Si layer. If the SiGe layer is fully relaxed and the Si layer fully strained, the amount of strain in Si is approximately 4:2 x% where x is the Ge mole fraction in the SiGe layer. A Si surface channel is formed in a SS MOSFET at the oxide/si interface. This is in contrast to a buried channel structure in a SiGe/Si/SiGe FET [1,2], or a SiGe surface channel in a SiGe MOSFET [3] with gate oxide * Corresponding author. Tel.: ; fax: address: rim@us.ibm.com (K. Rim). formed on SiGe. Compared to the alternatives, strained Si surface channel MOSFETs have the following advantages: a single epi layer can potentially enhance both electron and hole mobilities, the surface channel architecture leads to better scaling behavior in deep submicron channel lengths, and advanced gate oxides can be thermally grown on pure Si as opposed to SiGe. Obtaining high quality oxide interface is difficult in thermal oxidation of SiGe. Theoretical calculations [4 8] predict electron and hole mobility enhancements in strained Si. In the conduction band (Fig. 2(a)), tensile strain splits the sixfold degeneracy, and lowers the two-fold degenerate perpendicular D-valleys with respect to the four-fold inplane D-valleys in energy space. Such energy splitting suppresses inter-valley carrier scattering between the two-fold and four-fold degenerate valleys, and causes preferential occupation of the two-fold valleys where the in-plane conduction mass is lower. These two effects lead to increased electron mobility. Similarly, strain splits the valence band degeneracy (Fig. 2(b)) at the C-point and shifts the spin orbit band [9], improving the in-plane hole mobility /3/$ - see front matter Ó 23 Elsevier Science Ltd. All rights reserved. doi:1.116/s38-111(3)41-8

2 1134 K. Rim et al. / Solid-State Electronics 47 (23) Fig. 1. Typical structures of strained Si/relaxed SiGe bulk MOSFETs. Fig. 2. Biaxial tension-induced changes in (a) conduction and (b) valence bands in strained Si. Various experiments reported during the past eight years have demonstrated electron and hole mobility enhancements in SS MOSFETs [1 18]. In this paper some of the recent advancements in the SS devices are summarized, and the challenges in device physics/design issues as well as in materials/process integration are highlighted. 2. Device performance enhancements To investigate the feasibility of manufacturable integration, strained Si MOSFETs were fabricated with a.13 lm CMOS technology [16] complete with shallow trench isolation and salicide. The fabrication process was modified to accommodate the material compatibility with SiGe and strained Si. Fig. 3 shows the TEM micrograph of a SS device after fabrication. The quality of epi layer and gate oxide interface can be maintained during the device fabrication steps. Fig. 3. TEM micrograph of a fabricated strained Si MOSFET. Fig. 4 shows the NFET mobility measured on large area, long channel devices. The mobility of the SS device is 7% higher than the universal MOSFET mobility [19] or the mobility of the control device. What is remarkable is that the electron mobility enhancement persists even at high effective fields where the so-called surface roughness scattering was expected to dominate the mobility. The observed enhancement at high vertical field suggests that the strain may influence the surface roughness scattering in addition to the phonon scattering. Fig. 5 illustrates this point with a simple curve-fitting analysis. Fig. 5(a) shows the result of curve fitting assuming that only the phonon scattering-limited mobility is enhanced by strain. Such assumption underpredicts the mobility of the strained Si MOSFET at high vertical fields. Enhancements of both phonon scattering mobility and surface roughness scattering mobility are required (Fig. 5(b)) to describe the observed mobility characteristics of the SS device. Drain current characteristics of the short channel devices (L eff ¼ 67 nm) are compared in Fig. 6. Both control and SS devices were fabricated using the same Effective Mobility, eff (cm 2 /V*s) Universal Mobility Strained Si on SiGe 2% Unstrained Si control Strained Si on SiGe 15%. 5.k 1.M 1.5M Vertical Effective Field, E eff (V/cm) Fig. 4. Effective electron mobility of strained Si MOSFETs [16].

3 K. Rim et al. / Solid-State Electronics 47 (23) lower gate overdrive. Fig. 7 compares current drive at various L eff. Current drive enhancement is observed at L eff as small as 5 nm. Fig. 8 summarizes some of the SS PFET mobility data reported in the recent literature, and compares them to the results in this work. Unlike electron mobility which 7.x1-4 I g -V T =.9 V (A/um) 6.x1-4 5.x1-4 Control Strained Si 4.x L eff,cap (nm) Fig. 7. Current drive vs. L eff of strained Si NFETs. Fig. 5. Mobility enhancements in strained Si NMOSFETs. Curve fitting (a) with only phonon mobility enhanced and (b) with both phonon and surface roughness mobility enhanced. implant and activation annealing condition in this preliminary experiment. Note that due to the changes in the band structure and difference in dopant diffusion properties in SiGe, the SS devices exhibited lower V T and larger overlap capacitance C ov [16] (and thus longer physical gate length for a given L eff ). At gate overdrive (V GS V T ) of 1. V, the current drive of strained Si device is 2 25% larger, and the enhancement is larger at Effective Hole Mobility (cm 2 /V*s) k 4.k 6.k 8.k 1.M Effective Field (V/cm) Universal Mobility control (Rim, IEDM 95) 2% [Ge] (Rim, IEDM 95) 3%[Ge](RimIEDM95) 2% [Ge] (Huang VLSI 1) 25% [Ge] (Huang VLSI 1) control (Mizuno IEDM ) 18% [Ge] (Mizuno IEDM ) Control (this work) 3% [Ge] (this work) Fig. 8. Effective hole mobility of strained Si MOSFETs. Normalized Drain Current (A/µm) 6.x1-4 4.x1-4 2.x1-4 Normalized Drain Current (A/ m) 6.x1 4.x1 2.x V ds (V) V ds (V) Fig. 6. NFET I V characteristics. The SS device exhibits 2 25% increase in current.

4 1136 K. Rim et al. / Solid-State Electronics 47 (23) exhibits dramatic increases even at low strain and low Ge content, hole mobility enhancement is only significant at [Ge] of >2%, and diminishes at high vertical fields. 3. Device physics and design issues In order to realize the strain-enhanced CMOS performance to the fullest extent, both fundamental and technological challenges need to be addressed. As discussed above, strain-induced hole mobility enhancement requires a large amount of strain (i.e. [Ge]), and diminishes at high vertical field, consistent with the calculation in [8]. High Ge content increases the difficulty of various process integration issues, and due to the lack of mobility enhancement at high vertical fields, strained Si is expected to provide only limited enhancement in the future bulk and PDSOI PFETs with high channel doping and vertical field. On the other hand, for device structures such as symmetric double gate or FDSOI PMOSFETs in which the vertical field is inherently low, strained Si can provide a significant hole mobility enhancement. Recent experimental results [18] suggest that a significant hole mobility enhancement at high vertical field might be possible with an optimized epi layer preparation technique, but further investigation is needed. On the other hand, the large enhancements of electron mobility at high vertical fields require fundamental understanding. Although low field mobility is a property that can be measured and compared with relatively little ambiguity and is an essential parameter for device and circuit modeling, it is inadequate in quantitatively predicting the actual current drive of the deep sub-micron devices. Velocity saturation effect dominates in short channel lengths, reducing the impact by the changes in low field mobility. In addition, accurately extracting the instrinsic enhancement in device performance is difficult because the short channel device characteristic is a sensitive function of many device design parameters such as the junction profiles and extrinsic resistance. However, strain-induced energy splitting may also influence the transport of warm carriers in addition to the low field mobility. Fig. 9 [2] shows an estimated comparison of the density of states in the conduction band of strained Si and unstrained Si. Smaller density of states in strained Si should contribute to reduction of scattering rates even at carrier energies several times larger than kt, contributing to enhanced non-equilibrium transport and deep submicron NMOSFET current drive. In order to maximize the impact of strain-induced enhancement of intrinsic device performance, device scaling and design have to be optimized without compromise. This point is illustrated in Fig. 1 with a simple DOS Unstrained / DOS Strained Bulk Unstrained Si Strained Si ( E S =14 mev) E-E C (ev) Fig. 9. Estimated density of states (3D) in strained Si [2]. For carriers with energy up to.3 ev, the DOS in strained Si is significantly reduced compared to bulk Si. Expected Enhancement Factor: SS/Control Total Extrinsic G m,sat of Control Device ( µ S/ µ m) R EXT = 1 Ω-µ m 1.6 R EXT = 2 Ω-µ m 1.6 R EXT = 3 Ω-µ m Total R ON of Control Device ( Ω-µ m) Fig. 1. Expected enhancement in total R ON and external g m as a function of extrinsic resistance R ext assuming 7% and 3% increase in mobility and intrinsic g m in the SS devices. estimation of expected enhancements in the device onresistance R ON (which is inversely proportional to the drain current in the linear region) and the saturation transconductance g m;sat. For instance, assuming R ON ¼ 3 Xlm for the control device and parasitic resistance R ext ¼ 2 Xlm for both the control and SS devices, a 7% increase in mobility will be diluted to provide only 16% improvement in R ON. Similarly, assuming g m;sat ¼ 1 ls/lm for the control device, R ext of 2 Xlm (equally divided between source and drain) dilutes a 3% enhancement in intrinsic g m to 26% even in this simplified (neglecting the impact of a finite drain conductance in saturation) and optimistic estimation. Due to the low thermal conductivity of the thick SiGe layer, the SS MOSFETs exhibit significant self-heating, 2 1 Density of States (1 22 cm -2 ev -1 )

5 K. Rim et al. / Solid-State Electronics 47 (23) Fig. 11. Comparison of pulse- (symbols) and DC- (lines) measured I V [21]. Significant self-heating is observed in the SS devices. analogous that observed in SOI MOSFETs. Fig. 11 shows a comparison of DC- and pulse-measured I V of a SS NMOSFET [21]. In typical CMOS digital logic circuits, device duty cycle is expected to be much shorter than the thermal time constant of self-heating. However, in analog applications, self-heating will in effect induce a significant rise in device operation temperature, affecting the performance. Techniques to reduce the SiGe layer thickness in the structure can improve the self-heating characteristics. 4. Material and integration issues The foremost critical challenge in the SS CMOS technology is the control of dislocation defects in the epitaxial layers. Fig. 12 shows a cross-sectional TEM of dislocations in an unoptimized SiGe buffer reaching up to the device region. A finite density of misfit and threading dislocations are present in the SiGe buffers grown by the graded buffer growth techniques ([22,23] Fig. 12. XTEM of dislocation in the SiGe buffer. for example). Such dislocations can cause increase in junction leakage and device OFF current I off. For ULSI implementation, innovations and optimizations are required to minimize the propagation of dislocations in strained Si/relaxed SiGe structures. Thermal processing during CMOS fabrication steps can cause relaxation of the strain in the Si layer [24], or out-diffusion of Ge. Raman spectroscopy was used to measure the changes in strained Si/relaxed SiGe before and after RTA steps (Fig. 13) [25]. The position of the strained Si peak does not shift distinctly, while the signal strength decreases with RTA. This indicates that while the RTA at 1 C does not cause measurable strain relaxation, it effectively reduces the Si thickness by Ge out-diffusion. The thermal budget during device fabrication has to be optimized carefully to avoid strain relaxation and Ge out-diffusion into the channel layer. In addition, geometric effects and interaction with processrelated stress have to be understood and controlled. Understanding in this area is very limited at this time. Doping diffusion in SiGe affects device design. Fig. 14 shows SIMS profile of As before and after RTA. Arsenic diffusion in SiGe is significantly enhanced in comparison.15 Anneal Temp = 1 C (a) Anneal Temp = 1 C (b) Normalized Intensity (a.u.).1.5 no anneal 5sec 3 sec. 3 sec Wavenumber (cm -1 ) no anneal 3 sec Wavenumber (cm -1 ) Fig. 13. Intensity vs Raman shift for Si/SiGe layers with 2 nm Si capping layer, for no anneal, and the anneals at 1 C, 5 s, 3 s, and 5 min [25]. (a) Raman spectrum as measured and (b) curve fit to the strained Si peaks.

6 1138 K. Rim et al. / Solid-State Electronics 47 (23) to that in Si. In future device experiments, such a difference must be taken into account for the proper design of junction depth, overlap capacitance, and V T. SiGe also interacts with silicide formation. For the cobalt salicide process, Ge hinders transition to the low resistivity disilicide phase. Alternative material or integration schemes are required to achieve acceptable salicide properties. Lastly, implementing strained Si devices on SGOI (SiGe-on-insulator) structures to realize strained Si-oninsulator (SSOI) is a challenging materials problem. The (a) Concentration (cm -3 ) 1E22 1E21 1E2 1E19 1E18 1E17 as implanted As in SiGe (2%) after As in Si after 1E Depth (A) Fig. 14. Arsenic profile before and after RTA. As motion in SiGe is significantly enhanced compared to in Si. SSOI has the potential to combine the advantages of strained Si and SOI, and mitigate some of the SS devicespecific disadvantages such as higher junction leakage and junction capacitance. Methodologies proposed and reported so far include layer transfer by wafer bonding [17], SIMOX in relaxed SiGe [14], and Ge condensation in strained SiGe/SOI structure by thermal annealing and oxidation [26], which are summarized in Fig. 15. A successful SGOI technology will require high material quality and path to scaling the total SiGe/Si thickness to enable ultrathin SGOI structures. 5. Conclusion Numerous challenges and questions remain before the realization of a manufacturable strained Si CMOS technology, but the potential of geometric scaling-independent performance enhancement provides a strong motivation. Fig. 16 shows the estimated trade-off between physical gate length L gate and equivalent oxide thickness at inversion T inv, required to achieve a given CV =I metric. In this particular regime of scaling, a 15% improvement in current drive by strained Si can relax the T inv requirement by.4 nm for a given L gate, (assuming for a device design point where T ox is not the limiting factor for controlling short channel effects and off current) or relax the L gate requirement by 1 nm for a given T inv while accomplishing the same performance. For low power applications, strain-induced enhancement allows reduction of stand-by and switching power by scaling the supply voltage V DD or V T while maintaining a given current drive. Because the strain-induced enhancement adds to the improvement provided by continued geometric scaling of CMOS, it can be used to achieve high speed, lower power [2], or a relaxed geometric scaling requirement. 4 (b) Physical Gate Length L gate (nm) Control Strained Si 15% I ON increase (c) Fig. 15. Methods to form SGOI: (a) wafer bonding [17], (b) SIMOX [14], and (c) Ge condensation [26] Inversion T inv (nm) Fig. 16. L gate T inv trade-off to achieve a given CV =I. Straininduced current drive increase can relax the requirement for geometric scaling to achieve a given CMOS performance target.

7 K. Rim et al. / Solid-State Electronics 47 (23) References [1] Ismail K. Si/SiGe high speed field effect transistors. IEDM Tech Digest 1995: [2] Kesan VP, Subbana S, Restle PJ, Tejwani MJ, Aitken JM, Iyer SS, et al. High performance.25 mm p-mosfets with silicon-germanium channels for 3 K and 77 K operation. IEDM Tech Digest 1991: [3] Tezuka T, Sugiyama N, Mizuno T, Takagi S. Novel fullydepleted SiGe-on-insulator p-mosfets with high-mobility SiGe surface channels. IEDM Tech Digest 21: [4] Fischetti MV, Laux SE. Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys. J Appl Phys 1994;8(4): [5] Takagi S, Hoyt JL, Welser JJ, Gibbons JF. Comparative study of phonon limited mobility of two dimensional electrons in strained and unstrained Si metal oxide semiconductor field effect transistors. J Appl Phys 1994;8(3): [6] Roldan JB, Gamiz F, Lopez-Villanueva JA, Carceller JE. A Monte Carlo study on the electron transport properties of high performance strained Si on relaxed SiGe channel MOSFETs. J Appl Phys 1996;8(9): [7] Formicone GF, Vasileska D, Ferry DK. Transport in the surface channel of strained Si on a relaxed Si 1 x /Ge x / substrate. Solid State Electron 1997;41(6): [8] Oberhuber R, Zandler G, Vogl P. Subband structure and mobility of two dimensional holes in strained Si/SiGe MOSFETÕs. Phys Rev B 1998;58(15): [9] Nayak D, Woo JCS, Park JS, Wang L, MacWilliams KP. High-mobility p-channel metal-oxide-semiconductor fieldeffect transistor on strained Si. Appl Phys Lett 1993;62: [1] Welser J, Hoyt JL, Takagi S, Gibbons JF. Strain dependence of the performance enhancement in strained-si n- MOSFETs. IEDM Tech Digest 1994: [11] Nayak DK, Goto K, Yutani A, Murota J, Shiraki Y. High mobility strained Si PMOSFETÕs. IEEE Trans Electron Dev 1996;43(1): [12] Rim K, Welser J, Hoyt JL, Gibbons JF. Enhanced hole mobilities in surface channel strained Si p MOSFETs. IEDM Tech Digest 1995: [13] Rim K, Hoyt JL, Gibbons JF. Transconductance enhancement in deep submicron strained Si n MOSFETs. IEDM Tech Digest 1998:77 1. [14] Mizuno T, Takagi S, Sugiyama N, Koga J, Tezuka T, Usuda K, et al. High performance strained Si p MOSFETs on SiGe on insulator substrates fabricated by SIMOX technology. IEDM Tech Digest 1999: [15] Mizuno T, Sugiyama N, Satake H, Takagi S, Advanced SOI MOSFETs with strained Si channel for high speed CMOS electron/hole mobility enhancement. Symposium on VLSI Technology, 2. p [16] Rim K, Koester S, Hargrove M, Chu J, Mooney PM, Ott J, et al. Strained Si NMOSFETs for high performance CMOS technology. Symposium on VLSI Technology, 21. p [17] Huang LJ, Chu JO, Goma S, DÕEmic CP, Koester SJ, Canaperi DF, et al. Carrier mobility enhancement in strained Si on insulator fabricated by wafer bonding. Symposium on VLSI Technology, 21. p [18] Sugii N, Hisamoto D, Washio K, Yokoyama N, Kimura S. Enhanced performance of strained-si MOSFETs on CMP SiGe virtual substrate. IEDM Tech Digest 21: [19] Takagi S, Toriumi A, Iwase M, Tango H. On the universality of inversion layer mobility in Si MOSFETs: Part I Effects of substrate impurity concentration. IEEE Trans Electron Dev 1994;41(12): [2] Rim K, Hoyt JL, Gibbons JF. Fabrication and analysis of deep submicron strained Si nmosfetõs. IEEE Trans Electron Dev 1994;47(8): [21] Jenkins K, Rim K. Measurement of the effect of selfheating in strained-silicon MOSFETs. IEEE Electron Dev Lett 22;23(6):36 2. [22] LeGoues FK, Meyerson BS, Morar JF, Kirchner PD. Mechanism and conditions for anomalous strain relaxation in graded thin films and superlattices. J Appl Phys 1992;71: [23] Fitzgerald EA, Xie YH, Monroe D, Silverman PJ, Kuo JM, Kortan AR, et al. Relaxed GeSi structures for III V integration with Si and high mobility two-dimensional electron gases in Si. J Vac Sci Technol B 1992;1:187. [24] Mooney PM et al. Mat Res Symp Proc Fall, 21. [25] Koester SJ, Rim K, Chu JO, Mooney PM, Ott JA, Hargrove MA. Effect of thermal processing on strain relaxation and interdiffusion in Si/SiGe heterostructures studied using Raman spectroscopy. Appl Phys Lett 22; 79(14): [26] Tezuka T, Sugiyama N, Mizuno T, Suzuki M, Takagi S. A novel fabrication technique of ultrathin and relaxed SiGe buffer layers with high Ge fraction for sub 1 nm strained silicon on insulator MOSFETs. Jpn J Appl Phys 21; 4(4B):

Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s

Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s 1406 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 7, JULY 2000 Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s Kern (Ken) Rim, Member, IEEE, Judy L. Hoyt, Member, IEEE, and James

More information

Enhanced Mobility CMOS

Enhanced Mobility CMOS Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge

More information

High hole and electron mobilities using Strained Si/Strained Ge heterostructures

High hole and electron mobilities using Strained Si/Strained Ge heterostructures High hole and electron mobilities using Strained Si/Strained Ge heterostructures Saurabh Gupta, Minjoo L. Lee, Chris W. Leitz and E.A. Fitzgerald Department of Materials Science and Engineering, MIT, Cambridge,

More information

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET Contemporary Engineering Sciences, Vol. 4, 2011, no. 6, 249 258 Analytical Modeling of Threshold Voltage for a Biaxial Strained-Si-MOSFET Amit Chaudhry Faculty of University Institute of Engineering and

More information

Glasgow eprints Service

Glasgow eprints Service Palmer, M.J. and Braithwaite, G. and Prest, M.J. and Parker, E.H.C. and Whall, T.E. and Zhao, Y.P. and Kaya, S. and Watling, J.R. and Asenov, A. and Barker, J.R. and Waite, A.M. and Evans, A.G.R. (2001)

More information

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON Siddhartha Dhar*, Enzo Ungersböck*, Mihail Nedjalkov, Vassil Palankovski Advanced Materials and Device Analysis Group, at * *Institute

More information

Prospects for Ge MOSFETs

Prospects for Ge MOSFETs Prospects for Ge MOSFETs Sematech Workshop December 4, 2005 Dimitri A. Antoniadis Microsystems Technology Laboratories MIT Sematech Workshop 2005 1 Channel Transport - I D I D =WQ i (x 0 )v xo v xo : carrier

More information

ABSTRACT. ZHANG, RENHUA. Electronic Defect Characterization of Strained-Si/SiGe/Si Heterostructure. (Under the direction of George A. Rozgonyi.

ABSTRACT. ZHANG, RENHUA. Electronic Defect Characterization of Strained-Si/SiGe/Si Heterostructure. (Under the direction of George A. Rozgonyi. ABSTRACT ZHANG, RENHUA. Electronic Defect Characterization of Strained-Si/SiGe/Si Heterostructure. (Under the direction of George A. Rozgonyi.) A strained-si layer grown on a SiGe buffer layer is a promising

More information

Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained SiGe and Si Films on Insulator

Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained SiGe and Si Films on Insulator Mat. Res. Soc. Symp. Proc. Vol. 809 004 Materials Research Society B3.6.1 Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained Ge and Films on Insulator Haizhou Yin

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 52 (2008) 1884 1888 Contents lists available at ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Analysis of STI-induced mechanical stress-related

More information

A Monte Carlo study on the electron-transport properties of highperformance strained-si on relaxed Si 1 x Ge x channel MOSFETs

A Monte Carlo study on the electron-transport properties of highperformance strained-si on relaxed Si 1 x Ge x channel MOSFETs A Monte Carlo study on the electron-transport properties of highperformance strained-si on relaxed Si 1 x Ge x channel MOSFETs J. B. Roldán, a) F. Gámiz, J. A. López-Villanueva, and J. E. Carceller Departamento

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs Prof. (Dr.) Tejas Krishnamohan Department of Electrical Engineering Stanford University, CA & Intel Corporation

More information

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS International Journal of Control Theory and Computer Modeling (IJCTCM) Vol.3, No.2, March 213 NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS Abderrazzak

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr 10.1149/05305.0203ecst The Electrochemical Society Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr Institute for

More information

SILICON-ON-INSULATOR (SOI) technology has been

SILICON-ON-INSULATOR (SOI) technology has been 1122 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 5, MAY 1998 Monte Carlo Simulation of Electron Transport Properties in Extremely Thin SOI MOSFET s Francisco Gámiz, Member, IEEE, Juan A. López-Villanueva,

More information

A Theoretical Investigation of Surface Roughness Scattering in Silicon Nanowire Transistors

A Theoretical Investigation of Surface Roughness Scattering in Silicon Nanowire Transistors A Theoretical Investigation of Surface Roughness Scattering in Silicon Nanowire Transistors Jing Wang *, Eric Polizzi **, Avik Ghosh *, Supriyo Datta * and Mark Lundstrom * * School of Electrical and Computer

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs 42nd ESSDERC, Bordeaux, France, 17-21 Sept. 2012 A2L-E, High Mobility Devices, 18 Sept. Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs M. Koyama 1,4,

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Electron effective mobility in strained Si/Si 1-x Ge x MOS devices using Monte Carlo simulation

Electron effective mobility in strained Si/Si 1-x Ge x MOS devices using Monte Carlo simulation Electron effective mobility in strained Si/Si 1-x Ge x MOS devices using Monte Carlo simulation V. Aubry-Fortuna, P. Dollfus, S. Galdin-Retailleau Institut d'electronique Fondamentale, CNRS UMR 8622, Bât.

More information

SIMULATION OF A Si/SiGe MODULATION-DOPED FET USING QUANTUM HYDRODYNAMIC EQUATIONS*

SIMULATION OF A Si/SiGe MODULATION-DOPED FET USING QUANTUM HYDRODYNAMIC EQUATIONS* SIMULATION OF A Si/SiGe MODULATION-DOPED FET USING QUANTUM HYDRODYNAMIC EQUATIONS* J.-R. Zhou, T. Yamada, H. Miyata +, and D. K. Ferry Center for Solid State Electronics Research Arizona State University,

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Physicists in the Semiconductor Industry

Physicists in the Semiconductor Industry Physicists in the Semiconductor Industry P.M. Mooney IBM Research Division, T.J. Watson Research Center Yorktown Heights, NY 10598 APS March Meeting March 24, 2004 Thomas J. Watson Research Center 1 Outline

More information

Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect Devices

Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect Devices Journal of Computational Electronics 1: 273 277, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Components Research, TMG Intel Corporation *QinetiQ. Contact: 1 High-Performance 4nm Gate Length InSb P-Channel Compressively Strained Quantum Well Field Effect Transistors for Low-Power (V CC =.5V) Logic Applications M. Radosavljevic,, T. Ashley*, A. Andreev*, S.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability xxx (2) xxx xxx Contents lists available at ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Modeling of enhancement factor of

More information

A -SiC MOSFET Monte Carlo Simulator Including

A -SiC MOSFET Monte Carlo Simulator Including VLSI DESIGN 1998, Vol. 8, Nos. (1-4), pp. 257-260 Reprints available directly from the publisher Photocopying permitted by license only (C) 1998 OPA (Overseas Publishers Association) N.V. Published by

More information

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides T. Ishihara*, J. Koga*, and S. Takagi** * Advanced LSI Technology Laboratory, Corporate Research &

More information

Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics. Technology, Yokohama , Japan

Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics. Technology, Yokohama , Japan Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics M. Mamatrishat a, M. Kouda a, T. Kawanago a, K. Kakushima b, P. Ahmet a, A. Aierken c, K. Tsutsui b,

More information

High Mobility in Modulation-Doped Si

High Mobility in Modulation-Doped Si Chapter 4 High Mobility in Modulation-Doped Si Two-Dimensional Electron Gases 4.1 Introduction The two-dimensional electron gas (2DEG) in semiconductors is a fundamental low-dimensional system [63] for

More information

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner 10.1149/1.2986844 The Electrochemical Society Ge Quantum Well Modulators on Si D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner Ginzton Laboratory, 450 Via Palou, Stanford CA 94305-4088,

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

First-principles study of electronic properties of biaxially strained silicon: Effects on charge carrier mobility

First-principles study of electronic properties of biaxially strained silicon: Effects on charge carrier mobility First-principles study of electronic properties of biaxially strained silicon: Effects on charge carrier mobility Decai Yu,* Yu Zhang, and Feng Liu Department of Materials Science and Engineering, University

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

IBM Research Report. Quantum-Based Simulation Analysis of Scaling in Ultra-Thin Body Device Structures

IBM Research Report. Quantum-Based Simulation Analysis of Scaling in Ultra-Thin Body Device Structures RC23248 (W0406-088) June 16, 2004 Electrical Engineering IBM Research Report Quantum-Based Simulation Analysis of Scaling in Ultra-Thin Body Device Structures Arvind Kumar, Jakub Kedzierski, Steven E.

More information

Subthreshold and scaling of PtSi Schottky barrier MOSFETs

Subthreshold and scaling of PtSi Schottky barrier MOSFETs Superlattices and Microstructures, Vol. 28, No. 5/6, 2000 doi:10.1006/spmi.2000.0954 Available online at http://www.idealibrary.com on Subthreshold and scaling of PtSi Schottky barrier MOSFETs L. E. CALVET,

More information

Diffusion in Extrinsic Silicon and Silicon Germanium

Diffusion in Extrinsic Silicon and Silicon Germanium 1 Diffusion in Extrinsic Silicon and Silicon Germanium SFR Workshop & Review November 14, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Part 5: Quantum Effects in MOS Devices

Part 5: Quantum Effects in MOS Devices Quantum Effects Lead to Phenomena such as: Ultra Thin Oxides Observe: High Leakage Currents Through the Oxide - Tunneling Depletion in Poly-Si metal gate capacitance effect Thickness of Inversion Layer

More information

Electron Momentum and Spin Relaxation in Silicon Films

Electron Momentum and Spin Relaxation in Silicon Films Electron Momentum and Spin Relaxation in Silicon Films D. Osintsev, V. Sverdlov, and S. Selberherr Abstract Semiconductor spintronics is promising, because it allows creating microelectronic elements which

More information

STRAIN EFFECTS ON THE VALENCE BAND OF SILICON: PIEZORESISTANCE IN P-TYPE SILICON AND MOBILITY ENHANCEMENT IN STRAINED SILICON PMOSFET

STRAIN EFFECTS ON THE VALENCE BAND OF SILICON: PIEZORESISTANCE IN P-TYPE SILICON AND MOBILITY ENHANCEMENT IN STRAINED SILICON PMOSFET STRAIN EFFECTS ON THE VALENCE BAND OF SILICON: PIEZORESISTANCE IN P-TYPE SILICON AND MOBILITY ENHANCEMENT IN STRAINED SILICON PMOSFET By KEHUEY WU A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&!

!#$%&'(')*+,%*-'$(,.,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&! Università di Pisa!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$#%#'/(1+,%&'.,',+,(&$+#'3*'5.' 758!9&!!"#$%&'#()"*+"( H%8*'/%I-+/&#J%#)+-+-'%*#J-55K)+&'I*L%&+-M#5-//'&+%,*(#)+&'I*/%,*(#N-5-,&I=+%,*L%&+%(# @+%O-'.%/P#J%#F%.*#!"&,-..-(/#$$#''*$-(

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

ECE 497 JS Lecture - 12 Device Technologies

ECE 497 JS Lecture - 12 Device Technologies ECE 497 JS Lecture - 12 Device Technologies Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 NMOS Transistor 2 ρ Source channel charge density

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Volume inversion mobility in SOI MOSFETs for different thin body orientations

Volume inversion mobility in SOI MOSFETs for different thin body orientations Solid-State Electronics 51 (27) 299 35 www.elsevier.com/locate/sse Volume inversion mobility in SOI MOSFETs for different thin body orientations V. Sverdlov *, E. Ungersboeck, H. Kosina, S. Selberherr

More information

QUANTIZATION of the transverse electron motion in the

QUANTIZATION of the transverse electron motion in the IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 11, NOVEMBER 1997 1915 Effects of the Inversion Layer Centroid on MOSFET Behavior Juan A. López-Villanueva, Pedro Cartujo-Casinello, Jesus Banqueri,

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Characteristics Optimization of Sub-10 nm Double Gate Transistors

Characteristics Optimization of Sub-10 nm Double Gate Transistors Characteristics Optimization of Sub-10 nm Double Gate Transistors YIMING LI 1,,*, JAM-WEM Lee 1, and HONG-MU CHOU 3 1 Departmenet of Nano Device Technology, National Nano Device Laboratories Microelectronics

More information

Fundamentals of Nanoelectronics: Basic Concepts

Fundamentals of Nanoelectronics: Basic Concepts Fundamentals of Nanoelectronics: Basic Concepts Sławomir Prucnal FWIM Page 1 Introduction Outline Electronics in nanoscale Transport Ohms law Optoelectronic properties of semiconductors Optics in nanoscale

More information

Lecture 4: CMOS Transistor Theory

Lecture 4: CMOS Transistor Theory Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Outline q Introduction q MOS Capacitor q

More information

A study on modeling and simulation of Multiple- Gate MOSFETs

A study on modeling and simulation of Multiple- Gate MOSFETs Journal of Physics: Conference Series PAPER OPEN ACCESS A study on modeling and simulation of Multiple- Gate MOSFETs To cite this article: Mandeep Singh et al 2016 J. Phys.: Conf. Ser. 759 012093 Related

More information

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors ECE 342 Electronic Circuits Lecture 6 MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2

More information

Simple Theory of the Ballistic Nanotransistor

Simple Theory of the Ballistic Nanotransistor Simple Theory of the Ballistic Nanotransistor Mark Lundstrom Purdue University Network for Computational Nanoechnology outline I) Traditional MOS theory II) A bottom-up approach III) The ballistic nanotransistor

More information

Threshold voltage shift of heteronanocrystal floating gate flash memory

Threshold voltage shift of heteronanocrystal floating gate flash memory JOURNAL OF APPLIED PHYSICS 97, 034309 2005 Threshold voltage shift of heteronanocrystal floating gate flash memory Yan Zhu, Dengtao Zhao, Ruigang Li, and Jianlin Liu a Quantum Structures Laboratory, Department

More information

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 4, 2008, 383 395 A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs Andrei SEVCENCO,

More information

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon Review of Semiconductor Physics Lecture 3 4 Dr. Tayab Din Memon 1 Electronic Materials The goal of electronic materials is to generate and control the flow of an electrical current. Electronic materials

More information

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 342 Electronic Circuits. 3. MOS Transistors ECE 342 Electronic Circuits 3. MOS Transistors Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu 1 NMOS Transistor Typically L = 0.1 to 3 m, W = 0.2 to

More information

Si - Based Tunnel Diode Operation and Forecasted Performance

Si - Based Tunnel Diode Operation and Forecasted Performance Si - Based Tunnel Diode Operation and Forecasted Performance Roger Lake Raytheon Systems Dallas, TX Si / Si x Ge -x Interband Tunnel Diodes The main tunneling process is LA and TO phonon assisted tunneling

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) The Future of CMOS David Pulfrey 1 CHRONOLOGY of the FET 1933 Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild) 1991 The most abundant object made by mankind (C.T. Sah) 2003 The 10 nm FET

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

NONLOCAL effects are becoming more and more

NONLOCAL effects are becoming more and more IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 5, MAY 1997 841 Modeling Effects of Electron-Velocity Overshoot in a MOSFET J. B. Roldán, F. Gámiz, Member, IEEE, J. A. López-Villanueva, Member, IEEE,

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

The Devices. Devices

The Devices. Devices The The MOS Transistor Gate Oxyde Gate Source n+ Polysilicon Drain n+ Field-Oxyde (SiO 2 ) p-substrate p+ stopper Bulk Contact CROSS-SECTION of NMOS Transistor Cross-Section of CMOS Technology MOS transistors

More information

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions P. R. Nelson 1 ECE418 - VLSI Midterm Exam Solutions 1. (8 points) Draw the cross-section view for A-A. The cross-section view is as shown below.. ( points) Can you tell which of the metal1 regions is the

More information

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation Semicond. Sci. Technol. 11 1996) 1763 1769. Printed in the UK Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation Yuhua Cheng, Min-chie Jeng, Zhihong Liu, Kai Chen, Bin

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

Device Models (PN Diode, MOSFET )

Device Models (PN Diode, MOSFET ) Device Models (PN Diode, MOSFET ) Instructor: Steven P. Levitan steve@ece.pitt.edu TA: Gayatri Mehta, José Martínez Book: Digital Integrated Circuits: A Design Perspective; Jan Rabaey Lab Notes: Handed

More information

Influence of structural and doping parameter variations on Si and Si 1 x Ge x double gate tunnel FETs: An analysis for RF performance enhancement

Influence of structural and doping parameter variations on Si and Si 1 x Ge x double gate tunnel FETs: An analysis for RF performance enhancement Pramana J. Phys. (2018) 91:2 https://doi.org/10.1007/s12043-018-1577-2 Indian Academy of Sciences Influence of structural and doping parameter variations on Si and Si 1 x Ge x double gate tunnel FETs:

More information

Strained Germanium Quantum Well PMOSFETs on SOI with Mobility Enhancement by External Uniaxial Stress

Strained Germanium Quantum Well PMOSFETs on SOI with Mobility Enhancement by External Uniaxial Stress Liu et al. Nanoscale Research Letters (2017) 12:120 DOI 10.1186/s11671-017-1913-3 NANO EXPRESS Strained Germanium Quantum Well PMOSFETs on SOI with Mobility Enhancement by External Uniaxial Stress Yan

More information

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc.

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc. Quantum and Non-local Transport Models in Crosslight Device Simulators Copyright 2008 Crosslight Software Inc. 1 Introduction Quantization effects Content Self-consistent charge-potential profile. Space

More information

VTCMOS characteristics and its optimum conditions predicted by a compact analytical model

VTCMOS characteristics and its optimum conditions predicted by a compact analytical model VTCMOS characteristics and its optimum conditions predicted by a compact analytical model Hyunsik Im 1,3, T. Inukai 1, H. Gomyo 1, T. Hiramoto 1,2, and T. Sakurai 1,3 1 Institute of Industrial Science,

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

THE END OF simple scaling for a solid-state device technology

THE END OF simple scaling for a solid-state device technology 1010 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 5, MAY 2006 Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap Scott E. Thompson, Senior Member, IEEE, Guangyu Sun, Youn Sung Choi,

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Philips Research apple PHILIPS

Philips Research apple PHILIPS c Electronics N.V. 1997 Modelling Compact of Submicron CMOS D.B.M. Klaassen Research Laboratories The Netherlands Eindhoven, contents accuracy and benchmark criteria new applications í RF modelling advanced

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information