Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model

Size: px
Start display at page:

Download "Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model"

Transcription

1 Plasma Team s Focus Couple models at various scales to predict profile evolution Build even stronger interactions between PIs and sponsors Key Projects Develop fast algorithms to determine energy/angular dist. Develop fundamental models for plasma-surface interactions Develop predictable profile simulator for etch and deposition Plasma species energy and angular distribution Plasma species energy and angular distribution Lieberman PIC-MCC Reactor Scale Model Graves Beam and MD Molecular dynamics validation Chang Beam and DSMC IMPACT 1 Feature profile evolution and control Sponsors

2 2009 IMPACT Workshop Faculty Presentation: Plasma Prof. Michael A. Lieberman Emi Kawamura Electrical Engineering, UC Berkeley Prof. John P. Verboncoeur Ying Wang Nuclear Engineering, UC Berkeley Prof. Jon T. Gudmundsson University of Iceland IMPACT 2 11/02/2009

3 Current Milestones Develop fast algorithms to determine the energy and angular distributions of energetic ions on the wafer surface. Validate with fluid and particle-in-cell simulations and/or experiments. Example: Alan Wu, M.A. Lieberman and J.P. Verboncoeur, A model for computing ion energy distributions in multi-frequency capacitive discharges, J. Appl. Phys. Vol. 101, pp , 2007 IMPACT 3 11/02/2009

4 Verification of High Voltage RF Capacitive Sheath Models with Particle-in-Cell (PIC) Simulations (Wang) V rf Capacitive Sheath Models [1] P abs Frequency ω Ions Gas Pressure 1D Particle-In-Cell Simulations p L Given the external parameters of a single-frequency capacitively coupled discharge, plasma parameters including sheath width, electron and ion temperature, plasma density, power, and ion bombarding energy can be estimated. XPDP1 [2] (Plasma Device 1 Dimensional Bounded Electrostatic PIC code using C compilers ) and OOPD1 [3] (Object Oriented Plasma Device 1 Dimensional PIC code using C compilers) are used to investigate plasma behaviors within rf sheaths and bulk plasma. n Φ n i n F n e Ti [1] M. A. Lieberman, IEEE Trans. Plasma Sci. 16 (1988) 638; 17 (1989) 338 [2] J. P. Verboncoeur, M. V. Alves, V. Vahedi, and C. K. Birdsall, J. Comp. Phys. 104 (1993) 321 [3] J. P. Verboncoeur, A. B. Langdon and N. T. Gladd, Comp. Phys. Comm. 87 (1995) 199 s Γ i Γ F T e x V rf ~ ε i x IMPACT 4

5 Collisionless Sheath Model (Wang) Verified by XPDP1, capacitive discharge driven by a single frequency current source I(t)=I rf cos(2лft). Electron-neutral collisions are considered here, while ionneutral and neutral-neutral collisions are not considered. Density vs. Position External parameters: I rf = 2.3A f = 13.56MHz Pressure = 3mTorr Length= 0.1m 250 Time-averaged Density (m -3 ) 3.00E E E E000 Time-averaged ion density Time-averaged electron density Area = 0.1m Potential vs. Position 1.60E012 Position (x) Ion Energy Distribution Time-averaged Potential (V) IED (1/eV) 1.20E E E E Position (m) Energy (ev) IMPACT 5 Theory agrees with the simulation results very well.

6 Collisional Sheath Model (Wang) Verified by OOPD1, capacitive discharge driven by a single frequency voltage source V(t)=Vrfcos(2лft). Electron-neutral and ion-neutral collisions are considered here. The sheath is weakly collisional. External parameters: Vrf = 176V; f = 81MHz; P = 20mTorr; L= 0.024m; Area = m2 Ion mean free path is a function of energy: Here is the mean free path at a reference value of the ion velocity. p is a variable parameter that represents the dependence of ion-neutral collision cross section on ion velocity. Sheath Voltage Vs(t) is time-varying. Since rf frequency f rf is 80MHz and ion plasma frequency f pi is 4MHz, f rf >>f pi : ions can be considered as responding to the time average sheath voltage 69.5V. Further work needs to be done for f rf <f pi and f rf f pi ; oscillating sheath edge. IMPACT 6 ( ) p 0 0 IED (1/eV) IED (1/eV) Energy (ev) x 10 3 IED from Theory Averaged ion bombarding energy is 67.92V. Here we use 0 IED from PIC Averaged ion bombarding energy is 68.47V Ion Energy (ev) ( 69.51)

7 oopd1 PIC-MC (Gudmundsson) The oopd1 particle-in-cell Monte Carlo (PIC-MC) code is used to simulate a capacitively coupled discharge in oxygen oopd1 is a one-dimensional object-oriented PIC-MC code in which the model system has one spatial dimension and three velocity components The revised oxygen model includes, in addition to electrons, the oxygen molecule in ground state, the oxygen atom in ground state, the negative ion O -, and the positive ions O and O 2 Oxygen atoms and O ions were neglected in earlier PIC-MC models We intend to explore the ion energy distribution (IED) and ion angular distribution (IAD) in a capacitively coupled discharge as a function of pressure and driving frequency for both O and O 2 ions IMPACT 7 11/02/2009

8 Ion and electron energy (Gudmundsson) Full reaction set includes O and O, while limited reaction set neglects O and O and its reactions The EEPF is calculated assuming a full reaction set (red line) shows much more depletion of high energy electrons There ion energy of O 2 is shifted to lower energy when using a limited reaction set IMPACT 8 11/02/2009

9 Ion energy of O and O 2 (Gudmundsson) The ion energy distribution for the oxygen ion O 2 at 20 mtorr shows distinct peaks that are caused by charge-exchange collisions in the sheath The ion energy distribution for the oxygen ion O at 20 mtorr is broad and continuous as has been observed experimentally by Janes and Huth (Appl. Phys. Lett. 61, 261 (1992)) IMPACT 9 11/02/2009

10 COMSOL 2D TCP Fluid Model (Kawamura) (Joint with D.B. Graves) n e vs. P abs at Discharge Center T e vs. P abs at Discharge Center n gcl vs. P abs at Discharge Center n gcl2 vs. P abs at Discharge Center Develop fast 2D TCP model w/both inductive and capacitive coupling. Simulation ~ 30 min. Ar, 70 min. Cl 2 on 2.2 GHz CPU, 4GB RAM system. Compare model to Malyshev & Donnelly ( ) data for pure Cl 2 TCP reactor. (10 mtorr, 100 sccm, MHz w/ P abs = W.) IMPACT 10 11/02/2009

11 More COMSOL Simulation Results (Kawamura) Inductive Coupling (W/m 3 ) Capacitive Coupling (W/m 3 ) Gas Temperature (K) Cl Molar Fraction More COMSOL results for 10 mtorr Cl 2 plasma with P abs =740 W. Inductive coupling dominates over capacitive coupling at high P abs. Gas Temperature rises from 300 K at walls to about 785 K in reactor. Cl molar fraction 76% (Cl 2 gas mostly dissociated at high P abs ). IMPACT 11

12 Future Milestones Develop fast algorithms to determine the energy and angular distributions of energetic ions, fast neutrals, secondary electrons, and photons on the wafer surface. Validate with particle-in-cell simulations and/or experiments. Provide energy and angular distributions as input to the feature profile simulator. IMPACT 12 11/02/2009

13 2009 IMPACT Workshop Plasma-Surface Interactions in Nanoscale Feature Shape Evolution Faculty: David B. Graves Student(s): J. Vegh, D. Nest, Monica J. Titus Department of Chemical Engineering University of California at Berkeley IMPACT 13

14 Current Milestones Expose 193 nm photoresist with beams of ions and photons under vacuum conditions; Compare beam and plasma exposure results Include LER/LWR of patterned substrates Use beam and plasma experiments to understand roughening mechanisms IMPACT 14

15 The Tools ICP Chamber: Top-Down View To Neutral Mass Spec. Vacuum Beam System: Side View Ar and nm Total VUV Flux VUV Spec. OES 250 nm thick PR Sample 1 cm 2 Ion Flux Ion Current Probe Load-Lock Port To Roughing Pump H 2 O In H 2 O Out Faraday Cup VUV Spec. Sample Ion Source VUV Source Plasma Stability Plasma Chemistry To Ion Mass Spec. Ion Composition ~ Products RF Bias Ion Energy Langmuir Probe: n e, T e, Φ p H 2 O Out H 2 O In To Turbo Pump Power (W) Ф p (ev) Temp ( C) Ar ICP Conditions Average Bias Voltage (V) VUV Flux ( x cm -2 s -1 ) Ion Flux ( x cm -2 s -1 ) Photon to Ion Flux Ratio ~ ± ± Vacuum Beam Conditions Base Pressure: 5 x 10-8 Torr Sample Temperature: C Ion Source: 150 ev Ar (Commonwealth) VUV Source: Ar & Xe (Resonance) ± ± ~ ± ± IMPACT 15 11/02/2009

16 Results: Simultaneous Ion & VUV Exposure C=O (Lactone) & CH 2 /CH 3 Remaining Fractions Beam C=O Lactone CH 2 /CH 3 FTIR Analysis Plasma Beam Plasma x x x x10 18 Ion Fluence (cm -2 ) RMS Roughness (nm) 6 Plasma Beam AFM Analysis x x x x10 18 Ion Fluence (cm -2 ) ICP Ar ICP, 10 mt, 70W 5 s 300 s 120 ev Ar 50 C Vacuum Beam Xe VUV Source 150 ev Ar, 1 x cm C IMPACT 16 11/02/2009

17 Effects of Ion Energy on PR Roughening RMS Roughness (nm) Constant Exposure Time: 300 s Vary Bias Voltage No Crosslinking Little Crosslinking Crosslinked 1 (a) Ion Energy (ev) Vacuum Beam Experiment Results: Threshold energy ~ 70eV for roughening and crosslinking Onset of crosslinking and roughening at 70 ev suggests that the formation of a crosslinked layer is necessary for roughening to occur. As ion energy increases, the etching rate increases; therefore the rate of crosslinking must be greater than the rate of etching. IMPACT 17 11/02/2009

18 Effects of Substrate Temperature Constant Exposure Time: 300 s Constant Bias Voltage: 120eV or 215 ev RMS Roughness (nm) 12 Plasma (120 ev Ar ) Plasma (220 ev Ar ) 10 Beam (150 ev Ar, Ar VUV) Beam (150 ev Ar, Xe VUV) Results: Monotonic increase in roughening with temperature - ICP and vacuum beam. ICP case (120 ev Ar & Ar VUV) agrees well with vacuum beam case (150 ev Ar & Xe VUV or Ar VUV) Temperature ( C) IMPACT 18 11/02/2009

19 Effects of Substrate Temperature, continued 10.0nm 0.0 nm Results: Surface morphology transitions from pebble/granular shape to yarn-like features with increasing temperature. Chemical modification (FTIR results) are independent of temperature. No variation in C = O and CH 2 /CH 3 loss. IMPACT 19 11/02/2009

20 Roughening of Patterned Samples 0 min 5 min 10 min 60 min 8.3E16 (ions/cm2) 1.7E17 (ions/cm2) 1.0E18 (ions/cm2) Simultaneous (IonVUV) VUV Only Ion Only 100nm 100nm 100nm 4.0E16 (photons/cm2) 8.0E16 (photons/cm2) 4.8E17 (photons/cm2) Results: Increased roughening observed with simultaneous ion and VUV exposures. Sidewall striations appear after 60 min of processing. Preliminary investigations: 193 nm PR patterned samples are processed in the vacuum beam system with ion-only, VUV-only, or simultaneous conditions. IMPACT 20 11/02/2009

21 Future Milestones Extend beam VUV studies to low-k dielectrics Examine patterned photoresist samples in ICP plasma Examine low k dielectric samples in ICP plasma IMPACT 21

22 2009 IMPACT Workshop Student(s): John Hoang, Nathan Marchack, Calvin Pham Faculty: Prof. Jane P. Chang Title: Feature Profile Evolution of Deposition and Etching using a Mixed Layer Kinetics Model IMPACT 22 11/02/2009

23 Motivation Back End of Line (BEOL) Via etch: top view Gas flow rate Cu ionized PVD Profile evolution Henrik Schumacher, CMOS Chip Structure. Front end of Line (FEOL) Current Generation MOSFET Structure Porous Low k NiSi, NiSi(Pt) Metal Selective High k Gate Etch High k Poly SiO 2 High k Si After Poly Etch Poly SiO 2 Singer, Peter, Semi. Int TEM Micrography of Current Generation High k Devices High k Adapted from SiO 2 Si SiO 2 After High k Etch Braun, A.E. Semi. Int. (2000) Auth, C. et al. Intel Tech. Journal (2008) Stringent control of feature shape and geometry as dimensions decrease Lower process and development cost by predicting profile evolution IMPACT 23 11/02/2009

24 2009 Main Objective Plasma 3. Simulation of Feature Profile Evolution Use experimental beam systems to measure the pertinent kinetics parameters, such as sticking and recombination coefficients and formulate reaction mechanisms to be incorporated in a Monte Carlo simulator to account for surface evolution, especially with competing etching/deposition processes Currently working on translated mixed layer kinetics model to feed into the feature scale model for SiO 2 etch in Cl 2 plasma, Cu ionized physical vapor deposition, and HfO 2 /Al 2 O 3 etch in Cl 2 and BCl 3 plasmas IMPACT 24 11/02/2009

25 Surface Kinetics Si etching in Cl chemistry Hybrid Model Species flux ratio (Reactor Scale) The Problem Validation Si etching in Cl chemistry & SEM Cl Cl 1 r1s1rcl xsi xcl 2 ( g) Cl( s) r2 s2r Cl ( g ) Cl( s) Si Cl SiCl 3 ( ) 2 r xcl s ( s) 2( g) Si Cl Si r4 YSixSi ( s) ( g ) Cl r5 YCl xcl ( s) ( g ) SiO 2 etching in F chemistry Feature profile simulator n n SiO 2 etching in F chemistry & AFM AFM: RMS roughness of SiO 2 etching with Ion Direction F F 1 2 xsi r1s1rf xsi xf 2 2xSi xo ( g) F( s) xo r2s2rf xo xf 2 xsi xo ( g) F( s) 2 xsi r4 1xF 2 xsi xo ( s ) ( s) 2( g) Si F SiF xo r5 2xF 2 xsi xo ( s ) ( s) ( g) O F OF Si O F Si r6 YSixSi ( s) ( g ) O r7 YOxO ( s) ( g ) F r8 YFxF ( s) ( g ) Morphology Composition Yin, Y. et al. JVST A 26(1), 2008 Guo, W. et al. J. Phys. D. 42, 2009 Surface kinetics can become very complex and difficult to model Translated mixed layer (TML) kinetics lumps similar reactions together IMPACT 25 11/02/2009

26 Start with basic material system and chemistry. Adsorption Flux M Cl O M = Si, Hf, etc. Movement Flux Translated Mixed Layer Kinetics Test model accuracy against experimental data Removal Flux Method Of Approach Expand model complexity, fitting data to indirectly obtain kinetic parameters Test parameter accuracy by comparing to surface composition data, etc. r A1 scl _ on_ Hf RCl r A2 scl RCl Cl( g) Cl( s) Hf _ for _ Cl Cl ( g) Cl( s) O Hf Hf Cl ( s) ( s) ( g ) Cl HfCl ( s) 3 ( s) 3( g) Cl OCl HfCl ( s) 4 ( s) 4( g) r M dx Hf = 3r E2 4r E2 r E1 r A1 r A2 dt Construct model based on literature and experimental observations. Extract parameters that cannot be experimentally measured. Verify accuracy through surface composition comparisons. IMPACT 26 11/02/2009 r r r ( J x E1 OCl OCl 3 E2 HfCl3 ( JHf Cl ) 4 E3 HfCl4 ( JHf Cl ) = r A1 r A2 2r E1 4r E2 5r E3 dt dx O dt dx Cl = r E2 r E3 r M = r E1 r M )

27 SiO 2 in C x F y Plasma SEM Top View Oxide etch in CF 4 -CHF 3 plasma P AFM Profile Evolution R ARC SiO 2 Si P AR SiO 2 Si R C P ARC SiO 2 Si R O Si F C Roughness propagation Initial Surface Nucleation Advancement CF x ion CF x ion Smooth SiO 2 Goldfarb et al. JVST B 22(2) 2002 θ = 0 Ion direction Roughness evolution: C 4 F 8 /Ar plasma etch of oxide, 360 ev θ = 80 Ion direction time evolution C 4 F 8 /Ar plasma etch of SiO 2, 360 ev θ = 50 θ = 80 θ Ion direction time evolution Ion direction Sigmund theory applied to capture angle energy deposition MC feature model capable of modeling roughness and its evolution IMPACT 27 11/02/2009 θ time evolution

28 Cl Cl 2 HfO 2 in Cl 2 /BCl 3 Plasma Ionic species generated and etch rates for HfO 2 in Cl 2 /BCl 3 plasmas at 500W, 3 mt (top) and 300W, 5 mt (bottom) BCl 2 Cl 3 Cl ClO HfCl 2 HfCl 3 HfCl 4 Ion % Cl 2 BCl BCl 2 Cl Cl 2 B BCl 3 (BOCl) 3 HfCl 3 Ion % HfCl 4 HfBOCl 4 Cl Cl m/z BCl 3 % % BCl 3 Plasma was characterized using Langmuir Probe and OES. QMS was used to characterize major etch products and establish the effect of the plasma composition on etching rate. IMPACT 28 11/02/2009

29 HfO 2 Based Dielectrics in Cl 2 /BCl 3 Plasma TML Model Construction 160 Comparison with phenomenological model fitting 160 Al 2 O 3 Al 2 O 3 B Cl O Hf Etch Rate (Å/min) Hf 0.16 Al 0.19 O 0.65 HfO 2 E tr E th E ion Etch Rate (Å/min) Hf 0.16 Al 0.19 O 0.65 HfO 2 E ion HfO2 ( s) 6Cl( g) HfCl4 ( g) 2ClO( g) HfO s) 2BCl ( g) HfCl ( g) 2BOCl( ) 2( 3 4 g Phenomenological model (left column) and TML (right column) model fittings for Al 2 O 3, HfO 2 and Hf 0.16 Al 0.19 O 0.65 films Model can be adapted to fit more complex oxide films. Possible predictions of etching behavior for future materials can be made. IMPACT 29 11/02/2009 Dep. Rate (Å/min) Etch Rate (Å/min) Al 2 O 3 Hf 0.16 Al 0.19 O 0.65 HfO 2 E ion The more complex chemistry of BCl 3 and the simultaneous etching/deposition regimes must also be modeled using the TML approach.

30 Cu Ionized Physical Vapor Deposition Novellus HCM PVD Critical feature changes with process parameters Bottom Coverage vs. Ar Pressure BC/OH vs. Ar Pressure Bottom Coverage (nm) 50 sccm 10 sccm 2 sccm BC/OH 50 sccm 10 sccm 2 sccm Field Thickness (A) Field Thickness (A) Remaining CD vs. Ar Pressure Trench CD loss normalized to CD vs Wafer Bias Singer, Peter. Semiconductor International 2002 SEM images generated purposely to help exaggerate effects for modeling purposes Top View Side View Remaining CD (nm) 50 sccm 10 sccm 2 sccm Field Thickness (A) CD loss (%) BKM 2 BKM sccm BKM 4.1 Wafer Bias (V) RF power & bias increase CD loss (%) Trench CD loss normalized to Field Thickness vs. Wafer Bias BKM 2 BKM sccm BKM 4.1 Wafer Bias (V) BC/OH BC/OH vs. Wafer Bias BKM 2 BKM sccm BKM 4.1 Wafer Bias (V) Overhang from neutral depositing fluxes and/or resputtered products Bottom trench fill from increased directional fluxes (e.g. ions) Faceting caused by physical sputtering IMPACT 30 11/02/2009

31 Sigmund theory surface contour of deposited energy ion A B θ thermal accommodation MD simulations on Cu(111) Ar only 50 ev 150 ev 250 ev impact angle (degrees) Cu IPVD Physics thermal accomodation Kress, J. D. et al. JVST A 17(5), 1999 Cu only 25 ev 50 ev 100 ev impact angle (degrees) sputter yield Cu relationship between sputter yield and sticking probability 35 ev 50 ev 75 ev 25 ev 100 ev impact angle (degrees) sticking probability ev 15 ev 25 ev 35 ev 50 ev 75 ev 100 ev impact angle (degrees) Incident angle (degrees) Current model captures most physics properly Ion sticking thermal accommodation consistent with Sigmund theory Cu sticking probability scales about inversely with sputter yield P. Sigmund. J. Materi. Sci. 8, 1545 (1973) IMPACT 31 11/02/2009 MD Cu sticking probability Coronell et al. APL 73(26), ev 75 ev 50 ev 35 ev 25 ev 15 ev 10 ev

32 Cu IPVD Scattering and Kinetics number of reflections ev 50 ev 100 ev number of reflections MD simulations: Ar scattering 10 ev 50 ev 100 ev number of reflections ev 50 ev 100 ev Liu, X.-Y. et al. Thin Film Solids 422, off normal 20 off normal 45 off normal 80 off normal number of reflections ev 50 ev 100 ev reflection angle (degrees) reflection angle (degrees) reflection angle (degrees) reflection angle (degrees) Copper Sputter Yield MD: resputtered Cu deposition distribution 0 off normal 45 off normal E i = 175 ev i = 0 o Plane angle p [ o ] Cu sputter Yield E i = 175 ev i = 45 o Plane angle p [ o ] Vyvoda et al. IEEE Transactions on Plasma Science 27(5), 1999 Preliminary TML kinetics Lagried (Expt) Weijsenfeld (Expt) Bohdansky (Expt) Cheng (BC Sim) Gades (MD Sim) Kress (MD Sim) TML Fit E ion Specular scattering for ions and isotropic redepositing Cu invalid TML kinetics model consists of Ar / Cu with Cu/Cu deposition underway Etch Yield IMPACT 32 11/02/2009

33 Modeling Cu IPVD Experimental profiles faceting from Sputtering (e.g. kinetics) low energy deposition (e.g. Sigmund theory) neutral deposition or resputtering (e.g. scattering) Deposition on sidewalls Isotropic deposition s = % Cu 27% Cu, 3% Ar No deposition on sidewalls Pure Cu 50% Cu, 50% Cu directional deposition (e.g. ion from reactor scale model) Isotropic deposition, s = 0.2, 0.8 Angular dep., σ = 0.05, s = 1.0 Low bottom coverage (e.g. high ion sticking) Specular scattering not valid, more detailed kinetics needed IMPACT 33 11/02/2009

34 Future Goals Extract TML kinetics from MD and beam experiments Implement scattering physics determined by MD experiments Test validity of TML kinetics in feature profile simulator by comparing predicted profiles with experimental SEM for various chemistries Special Acknowledgements Ron Kinder at Novellus Victor Moroz at Synopsys Herbert Sawin at MIT Funded by AMD, Applied Materials, ASML, Canon, Ebara, Hitachi, IBM, Intel, KLA-Tencor, Magma, Marvell, Mentor Graphics, Novellus, Panoramic, SanDisk, Spansion, Synopsys, Tokyo Electron Limited, and Xilinx, with donations from Photronics, Toppan and matching support by the U.C. Discovery Program. IMPACT 34 11/02/2009

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

MODELING PLASMA PROCESSING DISCHARGES

MODELING PLASMA PROCESSING DISCHARGES MODELING PROCESSING DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators: E. Kawamura, D.B. Graves, and A.J. Lichtenberg,

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston Acknowledgements: DoE Plasma Science Center, NSF Presented at the 57 th AVS Conference, Albuquerque, NM

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES MWP 1.9 MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES Insook Lee, D.B. Graves, and M.A. Lieberman University of California Berkeley, CA 9472 LiebermanGEC7 1 STANDING WAVES

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Plasma Chemistry and Kinetics in Low Pressure Discharges

Plasma Chemistry and Kinetics in Low Pressure Discharges Plasma Chemistry and Kinetics in Low Pressure Discharges Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland tumi@hi.is 12o. Encontro Brasileiro de Física de Plasmas Brasilia, Brazil

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor

Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Investigation of the DSMC Approach for Ion/neutral Species in Modeling Low Pressure Plasma Reactor Hao Deng, Z. Li, D. Levin, and L. Gochberg Department of Aerospace Engineering The Pennsylvania State

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

The Role of Secondary Electrons in Low Pressure RF Glow Discharge

The Role of Secondary Electrons in Low Pressure RF Glow Discharge WDS'05 Proceedings of Contributed Papers, Part II, 306 312, 2005. ISBN 80-86732-59-2 MATFYZPRESS The Role of Secondary Electrons in Low Pressure RF Glow Discharge O. Brzobohatý and D. Trunec Department

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled plasmas

Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled plasmas (14pp) Plasma Sources Science and Technology doi:10.1088/0963-0252/24/3/034002 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

UC Berkeley UC Berkeley Electronic Theses and Dissertations

UC Berkeley UC Berkeley Electronic Theses and Dissertations UC Berkeley UC Berkeley Electronic Theses and Dissertations Title Plasma Diagnostics and Plasma-Surface Interactions in Inductively Coupled Plasmas Permalink https://escholarship.org/uc/item/0hn5z4f1 Author

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Z. C. Lu, J. E. Foster, T. G. Snodgrass, J. H. Booske, and A. E. Wendt a) Engineering

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

4 Modeling of a capacitive RF discharge

4 Modeling of a capacitive RF discharge 4 Modeling of a capacitive discharge 4.1 PIC MCC model for capacitive discharge Capacitive radio frequency () discharges are very popular, both in laboratory research for the production of low-temperature

More information

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741 Measurement of electron temperatures and electron energy distribution functions in dual frequency capacitively coupled CF 4 /O 2 plasmas using trace rare gases optical emission spectroscopy Zhiying Chen,

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING NARRW GAP ELECTRNEGATIVE CAPACITIVE DISCHARGES AND STCHASTIC HEATING M.A. Lieberman Deartment of Electrical Engineering and Comuter Sciences University of California Berkeley, CA 9472 Collaborators: E.

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595 Dual-RadioFrequency Capacitively-Coupled Plasma Reactors Tomás Oliveira Fartaria nº58595 Index Capacitive Reactors Dual Frequency Capacitively-Coupled reactors o Apparatus for improved etching uniformity

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

PIC-MCC simulations for complex plasmas

PIC-MCC simulations for complex plasmas GRADUATE SUMMER INSTITUTE "Complex Plasmas August 4, 008 PIC-MCC simulations for complex plasmas Irina Schweigert Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk Outline GRADUATE SUMMER

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans Low temperature plasmas not fully ionized Ionization degree 10-6 10-4 far away from thermodynamic equlilibrium T electron >>

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates WDS' Proceedings of Contributed Papers, Part II, 5 9,. ISBN 978-8-778-85-9 MATFYZPRESS The Computational Simulation of the Positive Ion Propagation to Uneven Substrates V. Hrubý and R. Hrach Charles University,

More information

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition W. Zou, a) H. N. G. Wadley, and X. W. Zhou Department of Materials Science and Engineering,

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Hiden EQP Applications

Hiden EQP Applications Hiden EQP Applications Mass/Energy Analyser for Plasma Diagnostics and Characterisation EQP Overview The Hiden EQP System is an advanced plasma diagnostic tool with combined high transmission ion energy

More information

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources

Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Multi-fluid Simulation Models for Inductively Coupled Plasma Sources Madhusudhan Kundrapu, Seth A. Veitzer, Peter H. Stoltz, Kristian R.C. Beckwith Tech-X Corporation, Boulder, CO, USA and Jonathan Smith

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information