Engr354: Digital Logic Circuits

Size: px
Start display at page:

Download "Engr354: Digital Logic Circuits"

Transcription

1 Engr354: Digitl Logi Ciruits Chpter 4: Logi Optimiztion Curtis Nelson Logi Optimiztion In hpter 4 you will lern out: Synthesis of logi funtions; Anlysis of logi iruits; Tehniques for deriving minimum-ost implementtions; Grphil representtion of logi funtions (Krnugh mps); Use of CAD tools to implement logi funtions. Engr354 Chpter 4

2 Motivtion It is diffiult to minimize funtion using lgeri mnipultion lone euse it is not systemti; Grphil tehniques llow for more systemti (nd visul) pproh to minimiztion; Although softwre tools re used for logi optimiztion, designers like us must understnd the proess; This hpter presents methods for logi minimiztion tht n e utomted using CAD tools. Exmple Funtion Minimizing with lger n e diffiult: Row Numer f The funtion f = S m(, 2, 4, 5, 6) Engr354 Chpter 4 2

3 2-Vrile Krnugh Mp Logil djenies m m m 2 m 3 m m 2 m m 3 () Truth tle () Krnugh mp Exmple 2-Vrile Funtion f(,) The funtion f = S m(,, 3) Engr354 Chpter 4 3

4 3-Vrile Krnugh Mp m m m 2 m 3 m 4 m 5 m 6 m 7 m m 2 m 6 m 4 m m 3 m 7 m 5 () Krnugh mp () Truth tle Exmple 3-Vrile Funtion Minterm f(,,) The funtion f = S m(, 2, 4, 5, 6) Engr354 Chpter 4 4

5 4-Vrile Krnugh Mp d m m 4 m 2 m 8 m m 5 m 3 m 9 m 3 m 7 m 5 m m 2 m 6 m 4 m d 4-Vrile Exmple d Engr354 Chpter 4 5

6 A Seond Exmple d A Third Exmple d Engr354 Chpter 4 6

7 A Fourth Exmple d 5-Vrile Krnugh Mp d d e = e = Engr354 Chpter 4 7

8 Terminology A vrile either unomplemented or omplemented is lled literl; A produt term tht indites when funtion is equl to is lled n implint; An implint tht nnot e omined into nother implint tht hs fewer literls is lled prime implint; A over is olletion of implints tht ounts for ll input omintions in whih funtion evlutes to. An essentil prime implint inludes minterm overed y no other prime. Cost is the numer of gtes plus the numer of gte inputs, ssuming primry inputs re ville in oth true nd omplemented form. Minimiztion Proedure s per CAD Tools Generte ll prime implints; Find ll essentil prime implints; If essentil primes do not form over, then selet miniml set of non-essentil primes. Engr354 Chpter 4 8

9 3-Vrile Exmple Three-vrile funtion f = S m(,, 2, 3, 7) First 4-Vrile Exmple d Four-vrile funtion f = S m(2, 3, 5, 6, 7,,, 3, 4) Engr354 Chpter 4 9

10 Seond 4-Vrile Exmple d The funtion f = S m(, 4, 8,,, 2, 3, 5) Third 4-Vrile Exmple d. The funtion f = S m(, 2, 4, 5,,, 3, 5) Engr354 Chpter 4

11 Minimiztion of POS Forms Find over of the s nd form mxterms Inompletely Speified Funtions Often, ertin input onditions nnot our; These re lled don t res; A funtion with don t res is lled n inompletely speified funtion; Don t res n e used to improve the qulity of the logi designed; This ook uses d to indite don t re sitution wheres stndrd industry prtie typilly uses f. Engr354 Chpter 4

12 Exmple 4-Vrile Funtion d d d d d f = S m(2, 4, 5, 6, ) + d(2, 3, 4, 5) Entered Vrile (EV) Mpping Allows mny vriles to e presented using redued size K-mp; Ours quite frequently in digitl systems, espeilly stte mhines; Requires K-mp ompression nd expnsion; Referenes (Entered-vrile mpping or mp-entered vriles) Tinder, Engineering Digitl Design, Seond Edition (Lirry) Other digitl logi textooks We (?) Engr354 Chpter 4 2

13 Entered Vrile (EV) Mpping Exmple f = S m(2, 5, 6, 7) f = = + Entered Vrile Truth Tle Compression f Engr354 Chpter 4 3

14 Entered Vrile Mp Compression f = = + Three Vrile Compression Exmple = + = Engr354 Chpter 4 4

15 Four Vrile Compression Exmple d d d d d d +d =d + = The funtion f = S m(, 2, 4, 5,,, 3, 5) + = d+d=d Other Exmples Expnsion; Compression nd expnsion using don t res. Engr354 Chpter 4 5

16 Multiple-Output Ciruits Neessry to implement multiple funtions; Ciruits n e omined to otin lower ost solution y shring some gtes; I only mention this in pssing. Exmple of Multiple-Output Synthesis d d () Funtion f () Funtion f 2 Engr354 Chpter 4 6

17 Exmple Multiple-Output Ciruit d f d f 2 () Comined iruit for f nd f 2 Multilevel Synthesis SOP or POS iruits hve two levels of gtes; These re only effiient for funtions with few inputs; Ciruits with mny inputs n led to fn-in prolems fn-in is the numer of inputs to gte; Multilevel iruits n lso e more re effiient; Exmple: f = + = (+) Engr354 Chpter 4 7

18 Krnugh Mps for XOR s nd XNOR s These funtions tend to hve non-djent ptterns in the K-mps. f = + = Å Implementtion of XOR x x Å x 2 x 2 () Sum-of-produts implementtion x x Å x 2 x 2 () NAND gte implementtion Engr354 Chpter 4 8

19 Optiml Implementtion of XOR f = x Å x 2 = x x 2 + x x 2 = x (x + x 2 ) + x 2 (x + x 2 ) x g x Å x 2 x 2 () Optiml NAND gte implementtion Krnugh Mps for XOR s nd XNOR s d. The funtion f = S m(, 3, 4, 7, 9,, 3, 4) Engr354 Chpter 4 9

20 Issues We Will Not Cover Multilevel synthesis Ftoring Funtionl deomposition Anlysis of multilevel iruits Cuil representtion Cues nd Hyperues Tulr methods for minimiztion (Quine-MCluskey method) Genertion of prime implints Determintion of minimum over Cuil tehniques for minimiztion CAD Tools espresso finds ext nd heuristi solutions to 2-level synthesis prolem. sis performs multilevel logi synthesis. Numerous ommeril CAD pkges re ville from Cdene, Mentor, Synopsys, nd other Eletroni Design Automtion (EDA) vendors. Engr354 Chpter 4 2

21 A Complete CAD system Design oneption Design entry, initil synthesis, nd funtionl simultion (see setion 2.8) Logi synthesis/optimiztion Physil design Timing simultion No Design orret? Yes Chip onfigurtion Physil Design Physil design determines how logi is to e implemented in the trget tehnology Plement determines where in the trget devie logi funtion is relized; Routing determines how devies re to e interonneted using wires. Engr354 Chpter 4 2

22 Timing Simultion Funtionl simultion does not onsider signl propgtion delys After physil design, more urte timing informtion is ville; Timing simultion n e used to hek if design meets performne requirements. Summry In this hpter you lerned out: Synthesis of logi funtions; Anlysis of logi iruits; Tehniques for deriving minimum-ost implementtions; Grphil representtion of logi funtions (Krnugh mps); Use of CAD tools to implement logi funtions. Engr354 Chpter 4 22

Unit 4. Combinational Circuits

Unit 4. Combinational Circuits Unit 4. Comintionl Ciruits Digitl Eletroni Ciruits (Ciruitos Eletrónios Digitles) E.T.S.I. Informáti Universidd de Sevill 5/10/2012 Jorge Jun 2010, 2011, 2012 You re free to opy, distriute

More information

EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2

EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2 EE08A Leture 2: Comintionl Logi Design EE 08A Leture 2 () 2005-2008 W. J. Dlly n P. Levis Announements Prof. Levis will hve no offie hours on Friy, Jn 8. Ls n setions hve een ssigne - see the we pge Register

More information

m2 m3 m1 (a) (b) (c) n2 n3

m2 m3 m1 (a) (b) (c) n2 n3 Outline LOGIC SYNTHESIS AND TWO-LEVEL LOGIC OPTIMIZATION Giovnni De Miheli Stnford University Overview of logi synthesis. Comintionl-logi design: { Bkground. { Two-level forms. Ext minimiztion. Covering

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl systems. It dels with the cse where vriles ssume only one o two vlues: TRUE (usully represented

More information

Metodologie di progetto HW Technology Mapping. Last update: 19/03/09

Metodologie di progetto HW Technology Mapping. Last update: 19/03/09 Metodologie di progetto HW Tehnology Mpping Lst updte: 19/03/09 Tehnology Mpping 2 Tehnology Mpping Exmple: t 1 = + b; t 2 = d + e; t 3 = b + d; t 4 = t 1 t 2 + fg; t 5 = t 4 h + t 2 t 3 ; F = t 5 ; t

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logi Synthesis nd Verifition SOPs nd Inompletely Speified Funtions Jie-Hong Rolnd Jing 江介宏 Deprtment of Eletril Engineering Ntionl Tiwn University Fll 2010 Reding: Logi Synthesis in Nutshell Setion 2 most

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1 ELETRIL ND OMPUTER ENGINEERING DEPRTMENT, OKLND UNIVERSIT EE-78: omputer Hrdwre Design Winter 016 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logi Synthesis nd Verifition SOPs nd Inompletely Speified Funtions Jie-Hong Rolnd Jing 江介宏 Deprtment of Eletril Engineering Ntionl Tiwn University Fll 22 Reding: Logi Synthesis in Nutshell Setion 2 most

More information

y1 y2 DEMUX a b x1 x2 x3 x4 NETWORK s1 s2 z1 z2

y1 y2 DEMUX a b x1 x2 x3 x4 NETWORK s1 s2 z1 z2 BOOLEAN METHODS Giovnni De Miheli Stnford University Boolen methods Exploit Boolen properties. { Don't re onditions. Minimiztion of the lol funtions. Slower lgorithms, etter qulity results. Externl don't

More information

SIMPLIFICATION OF BOOLEAN ALGEBRA. Presented By: Ms. Poonam Anand

SIMPLIFICATION OF BOOLEAN ALGEBRA. Presented By: Ms. Poonam Anand SIMPLIFITION OF OOLEN LGER Presented y: Ms. Poonam nand SIMPLIFITION USING OOLEN LGER simplified oolean expression uses the fewest gates possible to implement a given expression. ()() SIMPLIFITION USING

More information

Reduction of Switching Noise in Digital CMOS Circuits by Pin Swapping of Library Cells 1

Reduction of Switching Noise in Digital CMOS Circuits by Pin Swapping of Library Cells 1 Redution of Swithing Noise in Digitl CMOS Ciruits y Pin Swpping of Lirry Cells 1 Pilr Prr, Antonio Aost, nd Mnuel Vleni Instituto de Miroeletróni de Sevill-CNM / Universidd de Sevill Avd. Rein Meredes

More information

, g. Exercise 1. Generator polynomials of a convolutional code, given in binary form, are g. Solution 1.

, g. Exercise 1. Generator polynomials of a convolutional code, given in binary form, are g. Solution 1. Exerise Genertor polynomils of onvolutionl ode, given in binry form, re g, g j g. ) Sketh the enoding iruit. b) Sketh the stte digrm. ) Find the trnsfer funtion T. d) Wht is the minimum free distne of

More information

Nondeterministic Automata vs Deterministic Automata

Nondeterministic Automata vs Deterministic Automata Nondeterministi Automt vs Deterministi Automt We lerned tht NFA is onvenient model for showing the reltionships mong regulr grmmrs, FA, nd regulr expressions, nd designing them. However, we know tht n

More information

Chapter 8 Roots and Radicals

Chapter 8 Roots and Radicals Chpter 8 Roots nd Rdils 7 ROOTS AND RADICALS 8 Figure 8. Grphene is n inredily strong nd flexile mteril mde from ron. It n lso ondut eletriity. Notie the hexgonl grid pttern. (redit: AlexnderAIUS / Wikimedi

More information

Chapter 4 State-Space Planning

Chapter 4 State-Space Planning Leture slides for Automted Plnning: Theory nd Prtie Chpter 4 Stte-Spe Plnning Dn S. Nu CMSC 722, AI Plnning University of Mrylnd, Spring 2008 1 Motivtion Nerly ll plnning proedures re serh proedures Different

More information

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005 RLETON UNIVERSIT eprtment of Eletronis ELE 2607 Swithing iruits erury 28, 05; 0 pm.0 Prolems n Most Solutions, Set, 2005 Jn. 2, #8 n #0; Simplify, Prove Prolem. #8 Simplify + + + Reue to four letters (literls).

More information

expression simply by forming an OR of the ANDs of all input variables for which the output is

expression simply by forming an OR of the ANDs of all input variables for which the output is 2.4 Logic Minimiztion nd Krnugh Mps As we found ove, given truth tle, it is lwys possile to write down correct logic expression simply y forming n OR of the ANDs of ll input vriles for which the output

More information

Project 6: Minigoals Towards Simplifying and Rewriting Expressions

Project 6: Minigoals Towards Simplifying and Rewriting Expressions MAT 51 Wldis Projet 6: Minigols Towrds Simplifying nd Rewriting Expressions The distriutive property nd like terms You hve proly lerned in previous lsses out dding like terms ut one prolem with the wy

More information

Algorithms for MIS Vector Generation and Pruning

Algorithms for MIS Vector Generation and Pruning Algorithms for MS Vetor Genertion nd Pruning Kenneth S. Stevens Eletril nd Computer Engineering University of Uth Slt Lke City, Uth kstevens@ee.uth.edu ABSTRACT Florentin Drtu Synopsys, n. Advned Tehnology

More information

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection NMOS Trnsistors in Series/Prllel onnetion Leture 6 MOS Stti & ynmi Logi Gtes Trnsistors n e thought s swith ontrolled y its gte signl NMOS swith loses when swith ontrol input is high Peter heung eprtment

More information

Digital Control of Electric Drives

Digital Control of Electric Drives igitl Control o Electric rives Logic Circuits - Comintionl Boolen Alger, escription Form Czech Technicl University in Prgue Fculty o Electricl Engineering Ver.. J. Zdenek Logic Comintionl Circuit Logic

More information

Test Generation from Timed Input Output Automata

Test Generation from Timed Input Output Automata Chpter 8 Test Genertion from Timed Input Output Automt The purpose of this hpter is to introdue tehniques for the genertion of test dt from models of softwre sed on vrints of timed utomt. The tests generted

More information

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So. 5/9/ Comintionl Logic ENGG05 st Semester, 0 Dr. Hyden So Representtions of Logic Functions Recll tht ny complex logic function cn e expressed in wys: Truth Tle, Boolen Expression, Schemtics Only Truth

More information

Hardware Verification 2IMF20

Hardware Verification 2IMF20 Hrdwre Verifition 2IMF20 Julien Shmltz Leture 02: Boolen Funtions, ST, CEC Course ontent - Forml tools Temporl Logis (LTL, CTL) Domin Properties System Verilog ssertions demi & Industrils Proessors Networks

More information

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important!

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important! ECE-238L: Computer Logi Design Fll 23 Solutions - Homework (Due dte: Septemer 2th @ 9:3 m) Presenttion nd lrity re very importnt! PROBLEM (5 PTS) ) Simpliy the ollowing untions using ONLY Boolen Alger

More information

where the box contains a finite number of gates from the given collection. Examples of gates that are commonly used are the following: a b

where the box contains a finite number of gates from the given collection. Examples of gates that are commonly used are the following: a b CS 294-2 9/11/04 Quntum Ciruit Model, Solovy-Kitev Theorem, BQP Fll 2004 Leture 4 1 Quntum Ciruit Model 1.1 Clssil Ciruits - Universl Gte Sets A lssil iruit implements multi-output oolen funtion f : {0,1}

More information

Petri Nets. Rebecca Albrecht. Seminar: Automata Theory Chair of Software Engeneering

Petri Nets. Rebecca Albrecht. Seminar: Automata Theory Chair of Software Engeneering Petri Nets Ree Alreht Seminr: Automt Theory Chir of Softwre Engeneering Overview 1. Motivtion: Why not just using finite utomt for everything? Wht re Petri Nets nd when do we use them? 2. Introdution:

More information

TIME AND STATE IN DISTRIBUTED SYSTEMS

TIME AND STATE IN DISTRIBUTED SYSTEMS Distriuted Systems Fö 5-1 Distriuted Systems Fö 5-2 TIME ND STTE IN DISTRIUTED SYSTEMS 1. Time in Distriuted Systems Time in Distriuted Systems euse eh mhine in distriuted system hs its own lok there is

More information

Exam Review. John Knight Electronics Department, Carleton University March 2, 2009 ELEC 2607 A MIDTERM

Exam Review. John Knight Electronics Department, Carleton University March 2, 2009 ELEC 2607 A MIDTERM riting Exms: Exm Review riting Exms += riting Exms synhronous iruits Res, yles n Stte ssignment Synhronous iruits Stte-Grph onstrution n Smll Prolems lso Multiple Outputs, n Hrer omintionl Prolem riting

More information

Introduction to Electrical & Electronic Engineering ENGG1203

Introduction to Electrical & Electronic Engineering ENGG1203 Introduction to Electricl & Electronic Engineering ENGG23 2 nd Semester, 27-8 Dr. Hden Kwok-H So Deprtment of Electricl nd Electronic Engineering Astrction DIGITAL LOGIC 2 Digitl Astrction n Astrct ll

More information

Instructions. An 8.5 x 11 Cheat Sheet may also be used as an aid for this test. MUST be original handwriting.

Instructions. An 8.5 x 11 Cheat Sheet may also be used as an aid for this test. MUST be original handwriting. ID: B CSE 2021 Computer Orgniztion Midterm Test (Fll 2009) Instrutions This is losed ook, 80 minutes exm. The MIPS referene sheet my e used s n id for this test. An 8.5 x 11 Chet Sheet my lso e used s

More information

AP Calculus BC Chapter 8: Integration Techniques, L Hopital s Rule and Improper Integrals

AP Calculus BC Chapter 8: Integration Techniques, L Hopital s Rule and Improper Integrals AP Clulus BC Chpter 8: Integrtion Tehniques, L Hopitl s Rule nd Improper Integrls 8. Bsi Integrtion Rules In this setion we will review vrious integrtion strtegies. Strtegies: I. Seprte the integrnd into

More information

Dorf, R.C., Wan, Z. T- Equivalent Networks The Electrical Engineering Handbook Ed. Richard C. Dorf Boca Raton: CRC Press LLC, 2000

Dorf, R.C., Wan, Z. T- Equivalent Networks The Electrical Engineering Handbook Ed. Richard C. Dorf Boca Raton: CRC Press LLC, 2000 orf, R.C., Wn,. T- Equivlent Networks The Eletril Engineering Hndook Ed. Rihrd C. orf Bo Rton: CRC Press LLC, 000 9 T P Equivlent Networks hen Wn University of Cliforni, vis Rihrd C. orf University of

More information

Overview of Today s Lecture:

Overview of Today s Lecture: CPS 4 Computer Orgniztion nd Progrmming Lecture : Boolen Alger & gtes. Roert Wgner CPS4 BA. RW Fll 2 Overview of Tody s Lecture: Truth tles, Boolen functions, Gtes nd Circuits Krnugh mps for simplifying

More information

Dynamic Template Matching with Mixed-polarity Toffoli Gates

Dynamic Template Matching with Mixed-polarity Toffoli Gates Dynmi Templte Mthing with Mixed-polrity Toffoli Gtes Md Mzder Rhmn 1, Mthis Soeken 2,3, nd Gerhrd W. Duek 1 1 Fulty of Computer Siene, University of New Brunswik, Cnd 2 Deprtment of Mthemtis nd Computer

More information

1. Logic verification

1. Logic verification . Logi verifition Bsi priniples of OBDD s Vrile ordering Network of gtes => OBDD s FDD s nd OKFDD s Resoning out iruits Struturl methods Stisfiility heker Logi verifition The si prolem: prove tht two iruits

More information

= state, a = reading and q j

= state, a = reading and q j 4 Finite Automt CHAPTER 2 Finite Automt (FA) (i) Derterministi Finite Automt (DFA) A DFA, M Q, q,, F, Where, Q = set of sttes (finite) q Q = the strt/initil stte = input lphet (finite) (use only those

More information

A Transformation Based Algorithm for Reversible Logic Synthesis

A Transformation Based Algorithm for Reversible Logic Synthesis 2.1 A Trnsformtion Bsed Algorithm for Reversile Logi Synthesis D. Mihel Miller Dept. of Computer Siene University of Vitori Vitori BC V8W 3P6 Cnd mmiller@sr.uvi. Dmitri Mslov Fulty of Computer Siene University

More information

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press www.idc.c.il/tecs Usge nd Copyright Notice: Boolen Logic Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture mterils tht

More information

Lecture Notes No. 10

Lecture Notes No. 10 2.6 System Identifition, Estimtion, nd Lerning Leture otes o. Mrh 3, 26 6 Model Struture of Liner ime Invrint Systems 6. Model Struture In representing dynmil system, the first step is to find n pproprite

More information

Lecture 11 Binary Decision Diagrams (BDDs)

Lecture 11 Binary Decision Diagrams (BDDs) C 474A/57A Computer-Aie Logi Design Leture Binry Deision Digrms (BDDs) C 474/575 Susn Lyseky o 3 Boolen Logi untions Representtions untion n e represente in ierent wys ruth tle, eqution, K-mp, iruit, et

More information

Behavior Composition in the Presence of Failure

Behavior Composition in the Presence of Failure Behvior Composition in the Presene of Filure Sestin Srdin RMIT University, Melourne, Austrli Fio Ptrizi & Giuseppe De Giomo Spienz Univ. Rom, Itly KR 08, Sept. 2008, Sydney Austrli Introdution There re

More information

Robust Timing-Aware Test Generation Using Pseudo-Boolean Optimization

Robust Timing-Aware Test Generation Using Pseudo-Boolean Optimization Roust Timing-Awre Test Genertion Using Pseudo-Boolen Optimiztion Stephn Eggersglüß University of Bremen, Germny Germn Reserh Center for Artifiil Intelligene (DFKI), Bremen - Cyer-Physil Systems {Stephn.Eggersgluess@dfki.de}

More information

Chapter 3. Vector Spaces. 3.1 Images and Image Arithmetic

Chapter 3. Vector Spaces. 3.1 Images and Image Arithmetic Chpter 3 Vetor Spes In Chpter 2, we sw tht the set of imges possessed numer of onvenient properties. It turns out tht ny set tht possesses similr onvenient properties n e nlyzed in similr wy. In liner

More information

April 8, 2017 Math 9. Geometry. Solving vector problems. Problem. Prove that if vectors and satisfy, then.

April 8, 2017 Math 9. Geometry. Solving vector problems. Problem. Prove that if vectors and satisfy, then. pril 8, 2017 Mth 9 Geometry Solving vetor prolems Prolem Prove tht if vetors nd stisfy, then Solution 1 onsider the vetor ddition prllelogrm shown in the Figure Sine its digonls hve equl length,, the prllelogrm

More information

University of Sioux Falls. MAT204/205 Calculus I/II

University of Sioux Falls. MAT204/205 Calculus I/II University of Sioux Flls MAT204/205 Clulus I/II Conepts ddressed: Clulus Textook: Thoms Clulus, 11 th ed., Weir, Hss, Giordno 1. Use stndrd differentition nd integrtion tehniques. Differentition tehniques

More information

Fault Modeling. EE5375 ADD II Prof. MacDonald

Fault Modeling. EE5375 ADD II Prof. MacDonald Fult Modeling EE5375 ADD II Prof. McDonld Stuck At Fult Models l Modeling of physicl defects (fults) simplify to logicl fult l stuck high or low represents mny physicl defects esy to simulte technology

More information

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014 S 224 DIGITAL LOGI & STATE MAHINE DESIGN SPRING 214 DUE : Mrh 27, 214 HOMEWORK III READ : Relte portions of hpters VII n VIII ASSIGNMENT : There re three questions. Solve ll homework n exm prolems s shown

More information

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches.

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches. Propositionl models Historil models of omputtion Steven Lindell Hverford College USA 1/22/2010 ISLA 2010 1 Strt with fixed numer of oolen vriles lled the voulry: e.g.,,. Eh oolen vrile represents proposition,

More information

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework R-17 SASIMI 015 Proeeings Tehnology Mpping Metho for Low Power Consumption n High Performne in Generl-Synhronous Frmework Junki Kwguhi Yukihie Kohir Shool of Computer Siene, the University of Aizu Aizu-Wkmtsu

More information

1 PYTHAGORAS THEOREM 1. Given a right angled triangle, the square of the hypotenuse is equal to the sum of the squares of the other two sides.

1 PYTHAGORAS THEOREM 1. Given a right angled triangle, the square of the hypotenuse is equal to the sum of the squares of the other two sides. 1 PYTHAGORAS THEOREM 1 1 Pythgors Theorem In this setion we will present geometri proof of the fmous theorem of Pythgors. Given right ngled tringle, the squre of the hypotenuse is equl to the sum of the

More information

Chapter 1: Boolean Logic

Chapter 1: Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press, 2005 www.idc.c.il/tecs Chpter 1: Boolen Logic Usge nd Copyright Notice: Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture

More information

Bi-decomposition of large Boolean functions using blocking edge graphs

Bi-decomposition of large Boolean functions using blocking edge graphs Bi-eomposition of lrge Boolen funtions using loking ege grphs Mihir Chouhury n Krtik Mohnrm Deprtment of Eletril n Computer Engineering, Rie University, Houston {mihir,kmrm}@rie.eu Astrt Bi-eomposition

More information

PYTHAGORAS THEOREM WHAT S IN CHAPTER 1? IN THIS CHAPTER YOU WILL:

PYTHAGORAS THEOREM WHAT S IN CHAPTER 1? IN THIS CHAPTER YOU WILL: PYTHAGORAS THEOREM 1 WHAT S IN CHAPTER 1? 1 01 Squres, squre roots nd surds 1 02 Pythgors theorem 1 03 Finding the hypotenuse 1 04 Finding shorter side 1 05 Mixed prolems 1 06 Testing for right-ngled tringles

More information

Synthesis, testing and tolerance in reversible logic

Synthesis, testing and tolerance in reversible logic Universit of Lethridge Reserh Repositor OPUS Theses http://opus.uleth. Arts nd Siene, Fult of 2017 Snthesis, testing nd tolerne in reversile logi Nshir, Md Asif Lethridge, Alt. : Universit of Lethridge,

More information

Fachgebiet Rechnersysteme1. 1. Boolean Algebra. 1. Boolean Algebra. Verification Technology. Content. 1.1 Boolean algebra basics (recap)

Fachgebiet Rechnersysteme1. 1. Boolean Algebra. 1. Boolean Algebra. Verification Technology. Content. 1.1 Boolean algebra basics (recap) . Boolen Alger Fchgeiet Rechnersysteme. Boolen Alger Veriiction Technology Content. Boolen lger sics (recp).2 Resoning out Boolen expressions . Boolen Alger 2 The prolem o logic veriiction: Show tht two

More information

Table of Content. c 1 / 5

Table of Content. c 1 / 5 Tehnil Informtion - t nd t Temperture for Controlger 03-2018 en Tble of Content Introdution....................................................................... 2 Definitions for t nd t..............................................................

More information

Digital Design IE1204/5

Digital Design IE1204/5 Digitl Design IE4/5 Eerises Compiled y Willim Sndqvist willim@kth.se ICT/ES Eletroni Systems Numer systems nd odes. Enter the orresponding inry numers for the following deiml numers se. 9 7 d 53. Convert

More information

CS 573 Automata Theory and Formal Languages

CS 573 Automata Theory and Formal Languages Non-determinism Automt Theory nd Forml Lnguges Professor Leslie Lnder Leture # 3 Septemer 6, 2 To hieve our gol, we need the onept of Non-deterministi Finite Automton with -moves (NFA) An NFA is tuple

More information

1.3 SCALARS AND VECTORS

1.3 SCALARS AND VECTORS Bridge Course Phy I PUC 24 1.3 SCLRS ND VECTORS Introdution: Physis is the study of nturl phenomen. The study of ny nturl phenomenon involves mesurements. For exmple, the distne etween the plnet erth nd

More information

Boolean algebra.

Boolean algebra. http://en.wikipedi.org/wiki/elementry_boolen_lger Boolen lger www.tudorgir.com Computer science is not out computers, it is out computtion nd informtion. computtion informtion computer informtion Turing

More information

Two-Level Minimization

Two-Level Minimization Two-Level Minimization Logi Ciruits Design Seminars WS2010/2011, Leture 5 Ing. Petr Fišer, Ph.D. Department of Digital Design Faulty of Information Tehnology Czeh Tehnial University in Prague Evropský

More information

Logic Controllers 1. normally open contact normally closed contact. George Boole, ( ), Irish mathematician, Analysis of Logic).

Logic Controllers 1. normally open contact normally closed contact. George Boole, ( ), Irish mathematician, Analysis of Logic). Logi Controllers Logil ontrol Exmples of equipments for logil ontrol A simple ON-OFF swith with two terminls normll open ontt normll losed ontt rel ontts oil rmture ontts Contts push utton oil oke A oil

More information

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES CHAPTER 9 MULTIPLEERS DECODERS AND PROGRAMMABLE LOGIC DEVICES Contents 9. Introution 9.2 Multiplexers 9.3 Three-Stte Buffers 9.4 Deoers n Enoers 9.5 Re-Only Memories 9.6 Progrmmle Logi Devies 9.7 Complex

More information

Digital Circuit Engineering

Digital Circuit Engineering Digitl Ciruit Engineering DIGITAL VLSI Consensus, use Krnugh + + = + + + 2n Distriutive (X + A)(X + ) = X + A DESIGN Simplifition YX + X = X Generl DeMorgn Asorption Y + XY = X + Y F(,,... z,+,.,,) F(,,...

More information

Linear Algebra Introduction

Linear Algebra Introduction Introdution Wht is Liner Alger out? Liner Alger is rnh of mthemtis whih emerged yers k nd ws one of the pioneer rnhes of mthemtis Though, initilly it strted with solving of the simple liner eqution x +

More information

Section 1.3 Triangles

Section 1.3 Triangles Se 1.3 Tringles 21 Setion 1.3 Tringles LELING TRINGLE The line segments tht form tringle re lled the sides of the tringle. Eh pir of sides forms n ngle, lled n interior ngle, nd eh tringle hs three interior

More information

ENGR 3861 Digital Logic Boolean Algebra. Fall 2007

ENGR 3861 Digital Logic Boolean Algebra. Fall 2007 ENGR 386 Digitl Logi Boole Alger Fll 007 Boole Alger A two vlued lgeri system Iveted y George Boole i 854 Very similr to the lger tht you lredy kow Sme opertios ivolved dditio sutrtio multiplitio Repled

More information

Alpha Algorithm: Limitations

Alpha Algorithm: Limitations Proess Mining: Dt Siene in Ation Alph Algorithm: Limittions prof.dr.ir. Wil vn der Alst www.proessmining.org Let L e n event log over T. α(l) is defined s follows. 1. T L = { t T σ L t σ}, 2. T I = { t

More information

CSC2542 State-Space Planning

CSC2542 State-Space Planning CSC2542 Stte-Spe Plnning Sheil MIlrith Deprtment of Computer Siene University of Toronto Fll 2010 1 Aknowlegements Some the slies use in this ourse re moifitions of Dn Nu s leture slies for the textook

More information

Fault Emulation: A New Approach to Fault Grading

Fault Emulation: A New Approach to Fault Grading Fult Emultion: A New Approh to Fult Grding Kwng-Ting Cheng Shi-Yu Hung Deprtmentl of Eletril & Computer Engineering University of Cliforni, Snt Brr Snt Brr, CA 93106 Wei-Jin Di Quik-Turn Design System

More information

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control Outline Lst time: Deriving the Stte Digrm & Dtpth (Cont.) Mpping the Dtpth onto Control This lecture: Comintionl Testility nd Test-pttern Genertion Fults in digitl circuits Wht is test? : Controllility

More information

Fujitsu Laboratories of America. 77 Rio Robles, San Jose CA happens when one attempts to compare the functionality. inputs is neglected.

Fujitsu Laboratories of America. 77 Rio Robles, San Jose CA happens when one attempts to compare the functionality. inputs is neglected. VERIFUL : VERItion using FUntionl Lerning Rjrshi Mukherjee y Dept. of Eletril nd Computer Engineering University of Texs t Austin Austin TX 7872 Astrt It is well known tht lerning (i.e., indiret implitions)

More information

Numerical Methods for Chemical Engineers

Numerical Methods for Chemical Engineers Numeril Methods for Chemil Engineers Chpter 4: System of Liner Algebri Eqution Shrudin Hron Pge 4 - System of Liner Algebri Equtions This hpter dels with the se of determining the vlues,,, n tht simultneously

More information

Synthesis of Hazard-Free Multilevel Logic Under Multiple-Input Changes from Binary Decision Diagrams

Synthesis of Hazard-Free Multilevel Logic Under Multiple-Input Changes from Binary Decision Diagrams Synthesis o Hzrd-Free Multilevel Logi Under Multiple-Input Chnges rom Binry Deision Digrms Bill Lin, Memer, IEEE, Srinivs Devds, Memer, IEEE Astrt We desrie new method or diretly synthesizing hzrdree multilevel

More information

The University of Nottingham SCHOOL OF COMPUTER SCIENCE A LEVEL 2 MODULE, SPRING SEMESTER MACHINES AND THEIR LANGUAGES ANSWERS

The University of Nottingham SCHOOL OF COMPUTER SCIENCE A LEVEL 2 MODULE, SPRING SEMESTER MACHINES AND THEIR LANGUAGES ANSWERS The University of ottinghm SCHOOL OF COMPUTR SCIC A LVL 2 MODUL, SPRIG SMSTR 2015 2016 MACHIS AD THIR LAGUAGS ASWRS Time llowed TWO hours Cndidtes my omplete the front over of their nswer ook nd sign their

More information

K-map Definitions. abc

K-map Definitions. abc K-map efinitions b a bc Implicant ny single or any group of s is called an implicant of F. ny possible grouping of s is an implicant. b a Prime Implicant implicant that cannot be combined with some other

More information

Exercise 3 Logic Control

Exercise 3 Logic Control Exerise 3 Logi Control OBJECTIVE The ojetive of this exerise is giving n introdution to pplition of Logi Control System (LCS). Tody, LCS is implemented through Progrmmle Logi Controller (PLC) whih is lled

More information

PAIR OF LINEAR EQUATIONS IN TWO VARIABLES

PAIR OF LINEAR EQUATIONS IN TWO VARIABLES PAIR OF LINEAR EQUATIONS IN TWO VARIABLES. Two liner equtions in the sme two vriles re lled pir of liner equtions in two vriles. The most generl form of pir of liner equtions is x + y + 0 x + y + 0 where,,,,,,

More information

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation CS2N: The Coming Revolution in Computer Architecture Lortory 2 Preprtion Ojectives:. Understnd the principle of sttic CMOS gte circuits 2. Build simple logic gtes from MOS trnsistors 3. Evlute these gtes

More information

CHENG Chun Chor Litwin The Hong Kong Institute of Education

CHENG Chun Chor Litwin The Hong Kong Institute of Education PE-hing Mi terntionl onferene IV: novtion of Mthemtis Tehing nd Lerning through Lesson Study- onnetion etween ssessment nd Sujet Mtter HENG hun hor Litwin The Hong Kong stitute of Edution Report on using

More information

Factorising FACTORISING.

Factorising FACTORISING. Ftorising FACTORISING www.mthletis.om.u Ftorising FACTORISING Ftorising is the opposite of expning. It is the proess of putting expressions into rkets rther thn expning them out. In this setion you will

More information

Lecture 6: Coding theory

Lecture 6: Coding theory Leture 6: Coing theory Biology 429 Crl Bergstrom Ferury 4, 2008 Soures: This leture loosely follows Cover n Thoms Chpter 5 n Yeung Chpter 3. As usul, some of the text n equtions re tken iretly from those

More information

Control with binary code. William Sandqvist

Control with binary code. William Sandqvist Control with binry code Dec Bin He Oct 218 10 11011010 2 DA 16 332 8 E 1.1c Deciml to Binäry binry weights: 1024 512 256 128 64 32 16 8 4 2 1 71 10? 2 E 1.1c Deciml to Binäry binry weights: 1024 512 256

More information

Computing data with spreadsheets. Enter the following into the corresponding cells: A1: n B1: triangle C1: sqrt

Computing data with spreadsheets. Enter the following into the corresponding cells: A1: n B1: triangle C1: sqrt Computing dt with spredsheets Exmple: Computing tringulr numers nd their squre roots. Rell, we showed 1 ` 2 ` `n npn ` 1q{2. Enter the following into the orresponding ells: A1: n B1: tringle C1: sqrt A2:

More information

Eigenvectors and Eigenvalues

Eigenvectors and Eigenvalues MTB 050 1 ORIGIN 1 Eigenvets n Eigenvlues This wksheet esries the lger use to lulte "prinipl" "hrteristi" iretions lle Eigenvets n the "prinipl" "hrteristi" vlues lle Eigenvlues ssoite with these iretions.

More information

Finite State Automata and Determinisation

Finite State Automata and Determinisation Finite Stte Automt nd Deterministion Tim Dworn Jnury, 2016 Lnguges fs nf re df Deterministion 2 Outline 1 Lnguges 2 Finite Stte Automt (fs) 3 Non-deterministi Finite Stte Automt (nf) 4 Regulr Expressions

More information

Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement

Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement. Distance Measurement IVL 1101 Surveying - Mesuring Distne 1/5 Distne is one of the most si engineering mesurements Erly mesurements were mde in terms of the dimensions of the ody very old wooden rule - Royl Egyptin uit uits

More information

System Validation (IN4387) November 2, 2012, 14:00-17:00

System Validation (IN4387) November 2, 2012, 14:00-17:00 System Vlidtion (IN4387) Novemer 2, 2012, 14:00-17:00 Importnt Notes. The exmintion omprises 5 question in 4 pges. Give omplete explntion nd do not onfine yourself to giving the finl nswer. Good luk! Exerise

More information

9.1 Day 1 Warm Up. Solve the equation = x x 2 = March 1, 2017 Geometry 9.1 The Pythagorean Theorem 1

9.1 Day 1 Warm Up. Solve the equation = x x 2 = March 1, 2017 Geometry 9.1 The Pythagorean Theorem 1 9.1 Dy 1 Wrm Up Solve the eqution. 1. 4 2 + 3 2 = x 2 2. 13 2 + x 2 = 25 2 3. 3 2 2 + x 2 = 5 2 2 4. 5 2 + x 2 = 12 2 Mrh 1, 2017 Geometry 9.1 The Pythgoren Theorem 1 9.1 Dy 2 Wrm Up Use the Pythgoren

More information

2. Binary Decision Diagrams Fachgebiet Rechnersysteme1

2. Binary Decision Diagrams Fachgebiet Rechnersysteme1 2. Binry Deision Digrms Fhgeiet Rehnersysteme 2. Binry Deision Digrms Verifition Tehnology Content 2. BDD onepts 2.22 Vrile orderings 2.3 OBDD lgorithms 2.4 FDD s nd OKFDD s 2.5 Integer vlued deision digrms

More information

= x x 2 = 25 2

= x x 2 = 25 2 9.1 Wrm Up Solve the eqution. 1. 4 2 + 3 2 = x 2 2. 13 2 + x 2 = 25 2 3. 3 2 2 + x 2 = 5 2 2 4. 5 2 + x 2 = 12 2 Mrh 7, 2016 Geometry 9.1 The Pythgoren Theorem 1 Geometry 9.1 The Pythgoren Theorem 9.1

More information

Semantic Analysis. CSCI 3136 Principles of Programming Languages. Faculty of Computer Science Dalhousie University. Winter Reading: Chapter 4

Semantic Analysis. CSCI 3136 Principles of Programming Languages. Faculty of Computer Science Dalhousie University. Winter Reading: Chapter 4 Semnti nlysis SI 16 Priniples of Progrmming Lnguges Fulty of omputer Siene Dlhousie University Winter 2012 Reding: hpter 4 Motivtion Soure progrm (hrter strem) Snner (lexil nlysis) Front end Prse tree

More information

Memory Minimization for Tensor Contractions using Integer Linear Programming.

Memory Minimization for Tensor Contractions using Integer Linear Programming. Memory Minimiztion for Tensor Contrtions using Integer Liner Progrmming A. Allm 1, J. Rmnujm 1, G. Bumgrtner 2, nd P. Sdyppn 3 1 Deprtment of Eletril nd Computer Engineering, Louisin Stte University, USA

More information

Review of Gaussian Quadrature method

Review of Gaussian Quadrature method Review of Gussin Qudrture method Nsser M. Asi Spring 006 compiled on Sundy Decemer 1, 017 t 09:1 PM 1 The prolem To find numericl vlue for the integrl of rel vlued function of rel vrile over specific rnge

More information

Polyphase Systems. Objectives 23.1 INTRODUCTION

Polyphase Systems. Objectives 23.1 INTRODUCTION Polyphse Systems 23 Ojetives eome fmilir with the opertion of threephse genertor nd the mgnitude nd phse reltionship etween the three phse voltges. e le to lulte the voltges nd urrents for three-phse Y-onneted

More information

A Study on the Properties of Rational Triangles

A Study on the Properties of Rational Triangles Interntionl Journl of Mthemtis Reserh. ISSN 0976-5840 Volume 6, Numer (04), pp. 8-9 Interntionl Reserh Pulition House http://www.irphouse.om Study on the Properties of Rtionl Tringles M. Q. lm, M.R. Hssn

More information

Writing Exams: Writing Exams. Exam Review

Writing Exams: Writing Exams. Exam Review riting Exms: riting Exms Exm Review riting Exms synhronous iruits += Res, yles n Stte ssignment Synhronous iruits Stte-Grph onstrution n Smll Prolems lso Multiple Outputs, n Hrer omintionl Prolem riting

More information

Behavior Composition in the Presence of Failure

Behavior Composition in the Presence of Failure Behior Composition in the Presene of Filure Sestin Srdin RMIT Uniersity, Melourne, Austrli Fio Ptrizi & Giuseppe De Giomo Spienz Uni. Rom, Itly KR 08, Sept. 2008, Sydney Austrli Introdution There re t

More information

TOPIC: LINEAR ALGEBRA MATRICES

TOPIC: LINEAR ALGEBRA MATRICES Interntionl Blurete LECTUE NOTES for FUTHE MATHEMATICS Dr TOPIC: LINEA ALGEBA MATICES. DEFINITION OF A MATIX MATIX OPEATIONS.. THE DETEMINANT deta THE INVESE A -... SYSTEMS OF LINEA EQUATIONS. 8. THE AUGMENTED

More information