EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2

Size: px
Start display at page:

Download "EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2"

Transcription

1 EE08A Leture 2: Comintionl Logi Design EE 08A Leture 2 () W. J. Dlly n P. Levis Announements Prof. Levis will hve no offie hours on Friy, Jn 8. Ls n setions hve een ssigne - see the we pge Register for eelss Ls strt this week - no prel for L 0 Hnouts Leture notes Homework 2 L EE 08A Leture 2 () W. J. Dlly n P. Levis 2

2 Review Leture The worl is igitl Anlog t the eges, hrwre for emning prolems, 00x per ee Digitl signls Enoe isrete sttes in ontinuous signl Rejet noise Representtions Binry, set, ontinuous, ompoun Boolen Alger (0,,, ) Axioms, properties, ulity Logi equtions express inry funtions Comintionl logi Output is funtion only of urrent input Verilog Defines hrwre moules, ssign, se EE 08A Leture 2 () W. J. Dlly n P. Levis 3 Toy How to implement omintionl logi y hn Given esription of logi funtion Generte gte-level iruit tht relizes tht funtion Everyone nees to o this one To unerstn how its one Demystifies wht the synthesis tools o Better unerstning of wht synthesis tools n n n t o Mkes you etter t helping synthesis tool o goo jo In prtie you will rrely hve to o this y hn Generl prtie is: Design using Verilog Simulte with test ses Generte gtes with synthesis progrm EE 08A Leture 2 () W. J. Dlly n P. Levis 4 2

3 English lnguge esription of omintionl logi funtion F(,,,) is true if input,,, is prime EE 08A Leture 2 () W. J. Dlly n P. Levis 5 Truth Tle F(,,,) is true if input,,, is prime No q EE 08A Leture 2 () W. J. Dlly n P. Levis 6 3

4 Eqution F(,,,) is true if input,,, is prime! f = m(,2,3,5,7,,3) No q EE 08A Leture 2 () W. J. Dlly n P. Levis 7 Shemti Logi Digrm Shemti Logi Digrm: Eqution:! f = m(,2,3,5,7,,3) 2 3 5! f 7 3 EE 08A Leture 2 () W. J. Dlly n P. Levis 8 4

5 Cue representtion (3-it prime) f = m(,2,3,5,7 ) XX0 X0 00 0X 0 X00 XX XX 0 X 00 0X0 X0 0X X0 00X XX X0X X 0X X 0 XX EE 08A Leture 2 () W. J. Dlly n P. Levis 9 4-D Hyperue (4-it prime)! f = m(,2,3,5,7,,3) EE 08A Leture 2 () W. J. Dlly n P. Levis 0 5

6 4-it Prime Numer Funtion (ont) xx 00x x0 x f = ( ) V ( ) V ( ) V ( ) V V V V V V V EE 08A Leture 2 () W. J. Dlly n P. Levis Krnugh Mp of 4-it Prime EE 08A Leture 2 () W. J. Dlly n P. Levis 2 6

7 Krnugh Mp of 4-it Prime: Minterms Position of minterms on 4-it Krnugh mp EE 08A Leture 2 () W. J. Dlly n P. Levis 3 Krnugh Mp of 4-it Prime: Implints Ajent minterms iffer in extly one it Every positive minterm is n implint EE 08A Leture 2 () W. J. Dlly n P. Levis 4 7

8 Krnugh Mp of 4-it Prime: Lrger Implints X X Cn omine jent minterms into implints EE 08A Leture 2 () W. J. Dlly n P. Levis 5 Krnugh Mp of 4-it Prime: Ajeny X X X0 00 Cn omine jent minterms into implints Note eges wrp roun EE 08A Leture 2 () W. J. Dlly n P. Levis 6 8

9 Krnugh Mp of 4-it Prime: A lrger implint EE 08A Leture 2 () W. J. Dlly n P. Levis Why mke implints overlp? Two resons:. Lrger implints hve fewer gtes. 2. Hzrs. More on them lter X X0 X0 9

10 0xx 00x x0 x X X0 X0 00X X0 X0 f In prtie, CMOS gtes re lwys inverting, so the rel iruit might look like this f Deiml prime numer funtion inlues on t res f =! m(,2,3,5,7) + D(0,,2,3,4,5) x x x x 0 0 x x EE 08A Leture 2 () W. J. Dlly n P. Levis 20 0

11 Deiml prime numer funtion K-Mp XX x x x x 0 0 x x X0X XX EE 08A Leture 2 () W. J. Dlly n P. Levis 2 Deiml prime numer funtion iruit Cover: X0X X0X f = ( ) V ( ) V V x x x x x x f X0X EE 08A Leture 2 () W. J. Dlly n P. Levis 22

12 Revisiting some efinitions (n some new ones) Minterm: prout term tht inlues eh input of iruit or its omplement. Implint: prout term tht if true implies the funtion is true. Prime Implint: is n implint tht nnot e me ny lrger n still e n implint. Essentil Prime Implint: the only prime implint tht ontins prtiulr minterm of the funtion. Distinguishe One: is minterm tht is ontine in only one implint EE 08A Leture 2 () W. J. Dlly n P. Levis 23 Prout-of-Sums Implementtion Sum-of-Prouts iruit: fous on inputs sttes where truth tle is. Prout-of-Sums: fous on input sttes where truth tle is 0. Bsi pproh: esign for the omplement, pply DeMorgn s We en up with prout of sums, not sum of prouts f =! M( 0,2) EE 08A Leture 2 () W. J. Dlly n P. Levis 24 2

13 Prout-of-Sums Implementtion f =! M(0,2) ( ) DeMorgn EE 08A Leture 2 () W. J. Dlly n P. Levis 25 Prout-of-Sums Exmple: Deiml Prime x x x x x x f =! M ( 6,7,9,,5) + D(0,,2,3,4,5) EE 08A Leture 2 () W. J. Dlly n P. Levis 26 3

14 Hzrs v v 3 N e f N Stti- hzr e f EE 08A Leture 2 () W. J. Dlly n P. Levis 27 Cover trnsitions to eliminte hzrs v v v 3 N f EE 08A Leture 2 () W. J. Dlly n P. Levis 28 4

15 Reminer: 4-it Prime Numer Funtion X X0 X0 00X X0 X0 f 0xx 00x x0 x0 f EE 08A Leture 2 () W. J. Dlly n P. Levis 29 4-it Prime Numer Funtion in Verilog Coe Using se moule prime(in, isprime) ; input [3:0] in ; // 4-it input output isprime ; // true if input is prime reg isprime ; egin se(in),2,3,5,7,,3: isprime = ' ; efult: isprime = '0 ; ense en enmoule EE 08A Leture 2 () W. J. Dlly n P. Levis 30 5

16 4-it Prime Numer Funtion in Verilog Coe Using sex moule prime(in, isprime) ; input [3:0] in ; // 4-it input output isprime ; // true if input is prime reg isprime ; egin sex(in) 4'0xx: isprime = ; 4'00x: isprime = ; 4'x0: isprime = ; 4'x0: isprime = ; efult: isprime = 0 ; ense en enmoule EE 08A Leture 2 () W. J. Dlly n P. Levis 3 4-it Prime Numer Funtion in Verilog Coe Using ssign moule prime(in, isprime) ; input [3:0] in ; // 4-it input output isprime ; // true if input is prime wire isprime = (in[0] & ~in[3]) (in[] & ~in[2] & ~in[3]) (in[0] & ~in[] & in[2]) (in[0] & in[] & ~in[2]) ; enmoule EE 08A Leture 2 () W. J. Dlly n P. Levis 32 6

17 Whih is etter esription? Why? Using se Using sex Using ssign moule prime(in, isprime) ; input [3:0] in ; output isprime ; reg isprime ; egin se(in),2,3,5,7,,3: isprime = ' ; efult: isprime = '0 ; ense en enmoule moule prime(in, isprime) ; input [3:0] in ; output isprime ; wire isprime = (in[0] & ~in[3]) (in[] & ~in[2] & ~in[3]) (in[0] & ~in[] & in[2]) (in[0] & in[] & ~in[2]) ; enmoule moule prime(in, isprime) ; input [3:0] in ; output isprime ; reg isprime ; egin sex(in) 4'0xx: isprime = ; 4'00x: isprime = ; 4'x0: isprime = ; 4'x0: isprime = ; efult: isprime = 0 ; ense en enmoule Mke your oe esy to re, unerstn, n reson out. EE 08A Leture 2 () W. J. Dlly n P. Levis 33 4-it Prime Numer Funtion in Verilog Coe Result of synthesizing esription using se moule prime ( in, isprime ); input [3:0] in; output isprime; wire n, n2, n3, n4; OAI3 U (.A(n2),.B(n),.B2(in[2]),.B3(in[3]),.Y(isprime) ); INV U2 (.A(in[]),.Y(n) ); INV U3 (.A(in[3]),.Y(n3) ); XOR2 U4 (.A(in[2]),.B(in[]),.Y(n4) ); OAI2 U5 (.A(in[0]),.B(n3),.B2(n4),.Y(n2) ); enmoule in[0] in[3] in[2] in[] U4 U3 n4 U2 n3 X0X X0X U5 X0 X0 n EE 08A Leture 2 () W. J. Dlly n P. Levis 34 n2 00X U isprime 7

18 Synthesis Reports **************************************** Report : re Design : prime Version: Dte : St Ot 4 :38: **************************************** Lirry(s) Use: GS30KA_W_25_.35_CORE. (File: /home/imgine/from_ti/gs30k_.3/sun5/synop sys/li/gs30ka_w_25_.35_core.) Numer of ports: 5 Numer of nets: 9 Numer of ells: 5 Numer of referenes: 4 Comintionl re: Nonomintionl re: Net Interonnet re: unefine (Wire lo hs zero net re) Totl ell re: Totl re: unefine **************************************** Report : timing -pth full -ely mx -mx_pths Design : prime Version: Dte : St Ot 4 :38: **************************************** Operting Conitions: Wire Lo Moel Moe: enlose Strtpoint: in[2] (input port) Enpoint: isprime (output port) Pth Group: (none) Pth Type: mx Des/Clust/Port Wire Lo Moel Lirry prime 2K_5LM GS30KA_W_25_.35_CORE. Point Inr Pth input externl ely r in[2] (in) r U4/Y (EX20) f U5/Y (BF05) r U/Y (BF052) f isprime (out) f t rrivl time (Pth is unonstrine) EE 08A Leture 2 () W. J. Dlly n P. Levis 35 Constrint File rete_lok "lk" -nme lk -perio 2 -wveform {0.7} set_lok_unertinty 0.2 lk set_fix_hol ll_loks() set_lo -pin_lo 5 {isprime} set_input_ely 0.5 -lok lk {in} set_output_ely -mx 0.8 -lok lk {isprime} EE 08A Leture 2 () W. J. Dlly n P. Levis 36 8

19 Test enh moule test_prime ; reg [3:0] in ; wire isprime ; // instntite moule to test prime p0(in, isprime) ; initil egin in = 0 ; repet (6) egin #00 $isply("in = %2 isprime = %",in,isprime) ; in = in+ ; en en enmoule EE 08A Leture 2 () W. J. Dlly n P. Levis 37 Test enhes use very ifferent style of verilog Initil sttements $isply Repet n other looping onstruts #ely Don t use these onstruts in synthesizle moules EE 08A Leture 2 () W. J. Dlly n P. Levis 38 9

20 Testing Result # in = 0 isprime = 0 # in = isprime = # in = 2 isprime = # in = 3 isprime = # in = 4 isprime = 0 # in = 5 isprime = # in = 6 isprime = 0 # in = 7 isprime = # in = 8 isprime = 0 # in = 9 isprime = 0 # in = 0 isprime = 0 # in = isprime = # in = 2 isprime = 0 # in = 3 isprime = # in = 4 isprime = 0 # in = 5 isprime = 0 EE 08A Leture 2 () W. J. Dlly n P. Levis 39 Wve output EE 08A Leture 2 () W. J. Dlly n P. Levis 40 20

21 moule prime_e(in, isprime) ; input [3:0] in ; // 4-it input output isprime ; // true if input is prime reg isprime ; egin sex(in) 0,4,6,8,9: isprime = 0 ;,2,3,5,7: isprime = ; efult: isprime = x ; ense en enmoule Summry To minimize logi Write K-mp Fin ll prime implints Pik miniml set of prime implints tht overs the funtion Hzrs (output glithes) n e eliminte y overing trnsitions Verilog Cn represent with se, sex, ssign, or struturlly Use representtion tht is rele n mintinle se for truth tles ssign for equtions Don t try to o the logi esign yourself Synthesis tool will o the optimiztion Test enhes hek tht implementtion meets its speifition Test enhes use ifferent style of Verilog EE 08A Leture 2 () W. J. Dlly n P. Levis 42 2

Unit 4. Combinational Circuits

Unit 4. Combinational Circuits Unit 4. Comintionl Ciruits Digitl Eletroni Ciruits (Ciruitos Eletrónios Digitles) E.T.S.I. Informáti Universidd de Sevill 5/10/2012 Jorge Jun 2010, 2011, 2012 You re free to opy, distriute

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digitl Logi Ciruits Chpter 4: Logi Optimiztion Curtis Nelson Logi Optimiztion In hpter 4 you will lern out: Synthesis of logi funtions; Anlysis of logi iruits; Tehniques for deriving minimum-ost

More information

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014 S 224 DIGITAL LOGI & STATE MAHINE DESIGN SPRING 214 DUE : Mrh 27, 214 HOMEWORK III READ : Relte portions of hpters VII n VIII ASSIGNMENT : There re three questions. Solve ll homework n exm prolems s shown

More information

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005 RLETON UNIVERSIT eprtment of Eletronis ELE 2607 Swithing iruits erury 28, 05; 0 pm.0 Prolems n Most Solutions, Set, 2005 Jn. 2, #8 n #0; Simplify, Prove Prolem. #8 Simplify + + + Reue to four letters (literls).

More information

Lesson 2.1 Inductive Reasoning

Lesson 2.1 Inductive Reasoning Lesson 2.1 Inutive Resoning Nme Perio Dte For Eerises 1 7, use inutive resoning to fin the net two terms in eh sequene. 1. 4, 8, 12, 16,, 2. 400, 200, 100, 50, 25,, 3. 1 8, 2 7, 1 2, 4, 5, 4. 5, 3, 2,

More information

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important!

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important! ECE-238L: Computer Logi Design Fll 23 Solutions - Homework (Due dte: Septemer 2th @ 9:3 m) Presenttion nd lrity re very importnt! PROBLEM (5 PTS) ) Simpliy the ollowing untions using ONLY Boolen Alger

More information

Lesson 2.1 Inductive Reasoning

Lesson 2.1 Inductive Reasoning Lesson 2.1 Inutive Resoning Nme Perio Dte For Eerises 1 7, use inutive resoning to fin the net two terms in eh sequene. 1. 4, 8, 12, 16,, 2. 400, 200, 100, 50, 25,, 3. 1 8, 2 7, 1 2, 4, 5, 4. 5, 3, 2,

More information

Digital Control of Electric Drives

Digital Control of Electric Drives igitl Control o Electric rives Logic Circuits - Comintionl Boolen Alger, escription Form Czech Technicl University in Prgue Fculty o Electricl Engineering Ver.. J. Zdenek Logic Comintionl Circuit Logic

More information

CS 491G Combinatorial Optimization Lecture Notes

CS 491G Combinatorial Optimization Lecture Notes CS 491G Comintoril Optimiztion Leture Notes Dvi Owen July 30, August 1 1 Mthings Figure 1: two possile mthings in simple grph. Definition 1 Given grph G = V, E, mthing is olletion of eges M suh tht e i,

More information

DeMorgan s Theorem. The dual DeMorgan D + E = D E D+E D E 1 D NOR D E. Slide 29 Modified; January 3, 2006 John Knight Digital Circuits p.

DeMorgan s Theorem. The dual DeMorgan D + E = D E D+E D E 1 D NOR D E. Slide 29 Modified; January 3, 2006 John Knight Digital Circuits p. DeMorgn s Theorem DeMorgn s Theorems, Simple orms DeMorgn s Theorem DeMorgn s Theorems, Simple orms DeMorgn The ul DeMorgn A + B = A B (DeM) D + E = D E (DeM2) Inverse The ul inverse A + B = A B D E =

More information

Chapter 4 State-Space Planning

Chapter 4 State-Space Planning Leture slides for Automted Plnning: Theory nd Prtie Chpter 4 Stte-Spe Plnning Dn S. Nu CMSC 722, AI Plnning University of Mrylnd, Spring 2008 1 Motivtion Nerly ll plnning proedures re serh proedures Different

More information

expression simply by forming an OR of the ANDs of all input variables for which the output is

expression simply by forming an OR of the ANDs of all input variables for which the output is 2.4 Logic Minimiztion nd Krnugh Mps As we found ove, given truth tle, it is lwys possile to write down correct logic expression simply y forming n OR of the ANDs of ll input vriles for which the output

More information

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So. 5/9/ Comintionl Logic ENGG05 st Semester, 0 Dr. Hyden So Representtions of Logic Functions Recll tht ny complex logic function cn e expressed in wys: Truth Tle, Boolen Expression, Schemtics Only Truth

More information

18.06 Problem Set 4 Due Wednesday, Oct. 11, 2006 at 4:00 p.m. in 2-106

18.06 Problem Set 4 Due Wednesday, Oct. 11, 2006 at 4:00 p.m. in 2-106 8. Problem Set Due Wenesy, Ot., t : p.m. in - Problem Mony / Consier the eight vetors 5, 5, 5,..., () List ll of the one-element, linerly epenent sets forme from these. (b) Wht re the two-element, linerly

More information

Lecture 6: Coding theory

Lecture 6: Coding theory Leture 6: Coing theory Biology 429 Crl Bergstrom Ferury 4, 2008 Soures: This leture loosely follows Cover n Thoms Chpter 5 n Yeung Chpter 3. As usul, some of the text n equtions re tken iretly from those

More information

CS311 Computational Structures Regular Languages and Regular Grammars. Lecture 6

CS311 Computational Structures Regular Languages and Regular Grammars. Lecture 6 CS311 Computtionl Strutures Regulr Lnguges nd Regulr Grmmrs Leture 6 1 Wht we know so fr: RLs re losed under produt, union nd * Every RL n e written s RE, nd every RE represents RL Every RL n e reognized

More information

Counting Paths Between Vertices. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs

Counting Paths Between Vertices. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs. Isomorphism of Graphs Isomorphism of Grphs Definition The simple grphs G 1 = (V 1, E 1 ) n G = (V, E ) re isomorphi if there is ijetion (n oneto-one n onto funtion) f from V 1 to V with the property tht n re jent in G 1 if

More information

Digital Circuit Engineering

Digital Circuit Engineering Digitl Ciruit Engineering DIGITAL VLSI Consensus, use Krnugh + + = + + + 2n Distriutive (X + A)(X + ) = X + A DESIGN Simplifition YX + X = X Generl DeMorgn Asorption Y + XY = X + Y F(,,... z,+,.,,) F(,,...

More information

Nondeterministic Finite Automata

Nondeterministic Finite Automata Nondeterministi Finite utomt The Power of Guessing Tuesdy, Otoer 4, 2 Reding: Sipser.2 (first prt); Stoughton 3.3 3.5 S235 Lnguges nd utomt eprtment of omputer Siene Wellesley ollege Finite utomton (F)

More information

NON-DETERMINISTIC FSA

NON-DETERMINISTIC FSA Tw o types of non-determinism: NON-DETERMINISTIC FS () Multiple strt-sttes; strt-sttes S Q. The lnguge L(M) ={x:x tkes M from some strt-stte to some finl-stte nd ll of x is proessed}. The string x = is

More information

Lecture 11 Binary Decision Diagrams (BDDs)

Lecture 11 Binary Decision Diagrams (BDDs) C 474A/57A Computer-Aie Logi Design Leture Binry Deision Digrms (BDDs) C 474/575 Susn Lyseky o 3 Boolen Logi untions Representtions untion n e represente in ierent wys ruth tle, eqution, K-mp, iruit, et

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl systems. It dels with the cse where vriles ssume only one o two vlues: TRUE (usully represented

More information

Let's start with an example:

Let's start with an example: Finite Automt Let's strt with n exmple: Here you see leled circles tht re sttes, nd leled rrows tht re trnsitions. One of the sttes is mrked "strt". One of the sttes hs doule circle; this is terminl stte

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1 ELETRIL ND OMPUTER ENGINEERING DEPRTMENT, OKLND UNIVERSIT EE-78: omputer Hrdwre Design Winter 016 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl

More information

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation CS2N: The Coming Revolution in Computer Architecture Lortory 2 Preprtion Ojectives:. Understnd the principle of sttic CMOS gte circuits 2. Build simple logic gtes from MOS trnsistors 3. Evlute these gtes

More information

Instructions. An 8.5 x 11 Cheat Sheet may also be used as an aid for this test. MUST be original handwriting.

Instructions. An 8.5 x 11 Cheat Sheet may also be used as an aid for this test. MUST be original handwriting. ID: B CSE 2021 Computer Orgniztion Midterm Test (Fll 2009) Instrutions This is losed ook, 80 minutes exm. The MIPS referene sheet my e used s n id for this test. An 8.5 x 11 Chet Sheet my lso e used s

More information

Writing Exams: Writing Exams. Exam Review

Writing Exams: Writing Exams. Exam Review riting Exms: riting Exms Exm Review riting Exms synhronous iruits += Res, yles n Stte ssignment Synhronous iruits Stte-Grph onstrution n Smll Prolems lso Multiple Outputs, n Hrer omintionl Prolem riting

More information

, g. Exercise 1. Generator polynomials of a convolutional code, given in binary form, are g. Solution 1.

, g. Exercise 1. Generator polynomials of a convolutional code, given in binary form, are g. Solution 1. Exerise Genertor polynomils of onvolutionl ode, given in binry form, re g, g j g. ) Sketh the enoding iruit. b) Sketh the stte digrm. ) Find the trnsfer funtion T. d) Wht is the minimum free distne of

More information

CS 573 Automata Theory and Formal Languages

CS 573 Automata Theory and Formal Languages Non-determinism Automt Theory nd Forml Lnguges Professor Leslie Lnder Leture # 3 Septemer 6, 2 To hieve our gol, we need the onept of Non-deterministi Finite Automton with -moves (NFA) An NFA is tuple

More information

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework R-17 SASIMI 015 Proeeings Tehnology Mpping Metho for Low Power Consumption n High Performne in Generl-Synhronous Frmework Junki Kwguhi Yukihie Kohir Shool of Computer Siene, the University of Aizu Aizu-Wkmtsu

More information

Solutions for HW9. Bipartite: put the red vertices in V 1 and the black in V 2. Not bipartite!

Solutions for HW9. Bipartite: put the red vertices in V 1 and the black in V 2. Not bipartite! Solutions for HW9 Exerise 28. () Drw C 6, W 6 K 6, n K 5,3. C 6 : W 6 : K 6 : K 5,3 : () Whih of the following re iprtite? Justify your nswer. Biprtite: put the re verties in V 1 n the lk in V 2. Biprtite:

More information

m2 m3 m1 (a) (b) (c) n2 n3

m2 m3 m1 (a) (b) (c) n2 n3 Outline LOGIC SYNTHESIS AND TWO-LEVEL LOGIC OPTIMIZATION Giovnni De Miheli Stnford University Overview of logi synthesis. Comintionl-logi design: { Bkground. { Two-level forms. Ext minimiztion. Covering

More information

I 3 2 = I I 4 = 2A

I 3 2 = I I 4 = 2A ECE 210 Eletril Ciruit Anlysis University of llinois t Chigo 2.13 We re ske to use KCL to fin urrents 1 4. The key point in pplying KCL in this prolem is to strt with noe where only one of the urrents

More information

Convert the NFA into DFA

Convert the NFA into DFA Convert the NF into F For ech NF we cn find F ccepting the sme lnguge. The numer of sttes of the F could e exponentil in the numer of sttes of the NF, ut in prctice this worst cse occurs rrely. lgorithm:

More information

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES CHAPTER 9 MULTIPLEERS DECODERS AND PROGRAMMABLE LOGIC DEVICES Contents 9. Introution 9.2 Multiplexers 9.3 Three-Stte Buffers 9.4 Deoers n Enoers 9.5 Re-Only Memories 9.6 Progrmmle Logi Devies 9.7 Complex

More information

Regular expressions, Finite Automata, transition graphs are all the same!!

Regular expressions, Finite Automata, transition graphs are all the same!! CSI 3104 /Winter 2011: Introduction to Forml Lnguges Chpter 7: Kleene s Theorem Chpter 7: Kleene s Theorem Regulr expressions, Finite Automt, trnsition grphs re ll the sme!! Dr. Neji Zgui CSI3104-W11 1

More information

Algebra 2 Semester 1 Practice Final

Algebra 2 Semester 1 Practice Final Alger 2 Semester Prtie Finl Multiple Choie Ientify the hoie tht est ompletes the sttement or nswers the question. To whih set of numers oes the numer elong?. 2 5 integers rtionl numers irrtionl numers

More information

Exam Review. John Knight Electronics Department, Carleton University March 2, 2009 ELEC 2607 A MIDTERM

Exam Review. John Knight Electronics Department, Carleton University March 2, 2009 ELEC 2607 A MIDTERM riting Exms: Exm Review riting Exms += riting Exms synhronous iruits Res, yles n Stte ssignment Synhronous iruits Stte-Grph onstrution n Smll Prolems lso Multiple Outputs, n Hrer omintionl Prolem riting

More information

CSC2542 State-Space Planning

CSC2542 State-Space Planning CSC2542 Stte-Spe Plnning Sheil MIlrith Deprtment of Computer Siene University of Toronto Fll 2010 1 Aknowlegements Some the slies use in this ourse re moifitions of Dn Nu s leture slies for the textook

More information

K-map Definitions. abc

K-map Definitions. abc K-map efinitions b a bc Implicant ny single or any group of s is called an implicant of F. ny possible grouping of s is an implicant. b a Prime Implicant implicant that cannot be combined with some other

More information

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment EE273 Lecture 15 Asynchronous Design Novemer 16, 199 Willim J. Dlly Computer Systems Lortory Stnford University illd@csl.stnford.edu 1 Tody s Assignment Term Project see project updte hndout on we checkpoint

More information

Introduction to Electrical & Electronic Engineering ENGG1203

Introduction to Electrical & Electronic Engineering ENGG1203 Introduction to Electricl & Electronic Engineering ENGG23 2 nd Semester, 27-8 Dr. Hden Kwok-H So Deprtment of Electricl nd Electronic Engineering Astrction DIGITAL LOGIC 2 Digitl Astrction n Astrct ll

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logi Synthesis nd Verifition SOPs nd Inompletely Speified Funtions Jie-Hong Rolnd Jing 江介宏 Deprtment of Eletril Engineering Ntionl Tiwn University Fll 2010 Reding: Logi Synthesis in Nutshell Setion 2 most

More information

Boolean algebra.

Boolean algebra. http://en.wikipedi.org/wiki/elementry_boolen_lger Boolen lger www.tudorgir.com Computer science is not out computers, it is out computtion nd informtion. computtion informtion computer informtion Turing

More information

1 PYTHAGORAS THEOREM 1. Given a right angled triangle, the square of the hypotenuse is equal to the sum of the squares of the other two sides.

1 PYTHAGORAS THEOREM 1. Given a right angled triangle, the square of the hypotenuse is equal to the sum of the squares of the other two sides. 1 PYTHAGORAS THEOREM 1 1 Pythgors Theorem In this setion we will present geometri proof of the fmous theorem of Pythgors. Given right ngled tringle, the squre of the hypotenuse is equl to the sum of the

More information

Chapter 2 Finite Automata

Chapter 2 Finite Automata Chpter 2 Finite Automt 28 2.1 Introduction Finite utomt: first model of the notion of effective procedure. (They lso hve mny other pplictions). The concept of finite utomton cn e derived y exmining wht

More information

Metodologie di progetto HW Technology Mapping. Last update: 19/03/09

Metodologie di progetto HW Technology Mapping. Last update: 19/03/09 Metodologie di progetto HW Tehnology Mpping Lst updte: 19/03/09 Tehnology Mpping 2 Tehnology Mpping Exmple: t 1 = + b; t 2 = d + e; t 3 = b + d; t 4 = t 1 t 2 + fg; t 5 = t 4 h + t 2 t 3 ; F = t 5 ; t

More information

Project 6: Minigoals Towards Simplifying and Rewriting Expressions

Project 6: Minigoals Towards Simplifying and Rewriting Expressions MAT 51 Wldis Projet 6: Minigols Towrds Simplifying nd Rewriting Expressions The distriutive property nd like terms You hve proly lerned in previous lsses out dding like terms ut one prolem with the wy

More information

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control Outline Lst time: Deriving the Stte Digrm & Dtpth (Cont.) Mpping the Dtpth onto Control This lecture: Comintionl Testility nd Test-pttern Genertion Fults in digitl circuits Wht is test? : Controllility

More information

Implication Graphs and Logic Testing

Implication Graphs and Logic Testing Implition Grphs n Logi Testing Vishwni D. Agrwl Jmes J. Dnher Professor Dept. of ECE, Auurn University Auurn, AL 36849 vgrwl@eng.uurn.eu www.eng.uurn.eu/~vgrwl Joint reserh with: K. K. Dve, ATI Reserh,

More information

9.1 Day 1 Warm Up. Solve the equation = x x 2 = March 1, 2017 Geometry 9.1 The Pythagorean Theorem 1

9.1 Day 1 Warm Up. Solve the equation = x x 2 = March 1, 2017 Geometry 9.1 The Pythagorean Theorem 1 9.1 Dy 1 Wrm Up Solve the eqution. 1. 4 2 + 3 2 = x 2 2. 13 2 + x 2 = 25 2 3. 3 2 2 + x 2 = 5 2 2 4. 5 2 + x 2 = 12 2 Mrh 1, 2017 Geometry 9.1 The Pythgoren Theorem 1 9.1 Dy 2 Wrm Up Use the Pythgoren

More information

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches.

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches. Propositionl models Historil models of omputtion Steven Lindell Hverford College USA 1/22/2010 ISLA 2010 1 Strt with fixed numer of oolen vriles lled the voulry: e.g.,,. Eh oolen vrile represents proposition,

More information

Boolean Algebra cont. The digital abstraction

Boolean Algebra cont. The digital abstraction Boolen Alger ont The igitl strtion Theorem: Asorption Lw For every pir o elements B. + =. ( + ) = Proo: () Ientity Distriutivity Commuttivity Theorem: For ny B + = Ientity () ulity. Theorem: Assoitive

More information

= x x 2 = 25 2

= x x 2 = 25 2 9.1 Wrm Up Solve the eqution. 1. 4 2 + 3 2 = x 2 2. 13 2 + x 2 = 25 2 3. 3 2 2 + x 2 = 5 2 2 4. 5 2 + x 2 = 12 2 Mrh 7, 2016 Geometry 9.1 The Pythgoren Theorem 1 Geometry 9.1 The Pythgoren Theorem 9.1

More information

Automata and Regular Languages

Automata and Regular Languages Chpter 9 Automt n Regulr Lnguges 9. Introution This hpter looks t mthemtil moels of omputtion n lnguges tht esrie them. The moel-lnguge reltionship hs multiple levels. We shll explore the simplest level,

More information

I1 = I2 I1 = I2 + I3 I1 + I2 = I3 + I4 I 3

I1 = I2 I1 = I2 + I3 I1 + I2 = I3 + I4 I 3 2 The Prllel Circuit Electric Circuits: Figure 2- elow show ttery nd multiple resistors rrnged in prllel. Ech resistor receives portion of the current from the ttery sed on its resistnce. The split is

More information

ES-TA-3..1 Six- and Ten-Input E-Stop Safety Modules with DeviceNet

ES-TA-3..1 Six- and Ten-Input E-Stop Safety Modules with DeviceNet Emergeny Stop Sfety Moules Moel Seletion ES-TA-3..1 Six- n Ten-Input E-Stop Sfety Moules with DevieNet Sfety Moules s up to ten normlly lose emergeny stop swith iruits for ontt filure or wiring fult Diverse-reunnt

More information

80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES. 2.6 Finite State Automata With Output: Transducers

80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES. 2.6 Finite State Automata With Output: Transducers 80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES 2.6 Finite Stte Automt With Output: Trnsducers So fr, we hve only considered utomt tht recognize lnguges, i.e., utomt tht do not produce ny output on ny input

More information

Lecture 08: Feb. 08, 2019

Lecture 08: Feb. 08, 2019 4CS4-6:Theory of Computtion(Closure on Reg. Lngs., regex to NDFA, DFA to regex) Prof. K.R. Chowdhry Lecture 08: Fe. 08, 2019 : Professor of CS Disclimer: These notes hve not een sujected to the usul scrutiny

More information

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection NMOS Trnsistors in Series/Prllel onnetion Leture 6 MOS Stti & ynmi Logi Gtes Trnsistors n e thought s swith ontrolled y its gte signl NMOS swith loses when swith ontrol input is high Peter heung eprtment

More information

Fast Boolean Algebra

Fast Boolean Algebra Fst Boolen Alger ELEC 267 notes with the overurden removed A fst wy to lern enough to get the prel done honorly Printed; 3//5 Slide Modified; Jnury 3, 25 John Knight Digitl Circuits p. Fst Boolen Alger

More information

Control with binary code. William Sandqvist

Control with binary code. William Sandqvist Control with binry code Dec Bin He Oct 218 10 11011010 2 DA 16 332 8 E 1.1c Deciml to Binäry binry weights: 1024 512 256 128 64 32 16 8 4 2 1 71 10? 2 E 1.1c Deciml to Binäry binry weights: 1024 512 256

More information

Homework 4. 0 ε 0. (00) ε 0 ε 0 (00) (11) CS 341: Foundations of Computer Science II Prof. Marvin Nakayama

Homework 4. 0 ε 0. (00) ε 0 ε 0 (00) (11) CS 341: Foundations of Computer Science II Prof. Marvin Nakayama CS 341: Foundtions of Computer Science II Prof. Mrvin Nkym Homework 4 1. UsetheproceduredescriedinLemm1.55toconverttheregulrexpression(((00) (11)) 01) into n NFA. Answer: 0 0 1 1 00 0 0 11 1 1 01 0 1 (00)

More information

System Validation (IN4387) November 2, 2012, 14:00-17:00

System Validation (IN4387) November 2, 2012, 14:00-17:00 System Vlidtion (IN4387) Novemer 2, 2012, 14:00-17:00 Importnt Notes. The exmintion omprises 5 question in 4 pges. Give omplete explntion nd do not onfine yourself to giving the finl nswer. Good luk! Exerise

More information

Technische Universität München Winter term 2009/10 I7 Prof. J. Esparza / J. Křetínský / M. Luttenberger 11. Februar Solution

Technische Universität München Winter term 2009/10 I7 Prof. J. Esparza / J. Křetínský / M. Luttenberger 11. Februar Solution Tehnishe Universität Münhen Winter term 29/ I7 Prof. J. Esprz / J. Křetínský / M. Luttenerger. Ferur 2 Solution Automt nd Forml Lnguges Homework 2 Due 5..29. Exerise 2. Let A e the following finite utomton:

More information

= state, a = reading and q j

= state, a = reading and q j 4 Finite Automt CHAPTER 2 Finite Automt (FA) (i) Derterministi Finite Automt (DFA) A DFA, M Q, q,, F, Where, Q = set of sttes (finite) q Q = the strt/initil stte = input lphet (finite) (use only those

More information

Automatic Synthesis of New Behaviors from a Library of Available Behaviors

Automatic Synthesis of New Behaviors from a Library of Available Behaviors Automti Synthesis of New Behviors from Lirry of Aville Behviors Giuseppe De Giomo Università di Rom L Spienz, Rom, Itly degiomo@dis.unirom1.it Sestin Srdin RMIT University, Melourne, Austrli ssrdin@s.rmit.edu.u

More information

Finite State Automata and Determinisation

Finite State Automata and Determinisation Finite Stte Automt nd Deterministion Tim Dworn Jnury, 2016 Lnguges fs nf re df Deterministion 2 Outline 1 Lnguges 2 Finite Stte Automt (fs) 3 Non-deterministi Finite Stte Automt (nf) 4 Regulr Expressions

More information

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University CS415 Compilers Lexicl Anlysis nd These slides re sed on slides copyrighted y Keith Cooper, Ken Kennedy & Lind Torczon t Rice University First Progrmming Project Instruction Scheduling Project hs een posted

More information

Surds and Indices. Surds and Indices. Curriculum Ready ACMNA: 233,

Surds and Indices. Surds and Indices. Curriculum Ready ACMNA: 233, Surs n Inies Surs n Inies Curriulum Rey ACMNA:, 6 www.mthletis.om Surs SURDS & & Inies INDICES Inies n surs re very losely relte. A numer uner (squre root sign) is lle sur if the squre root n t e simplifie.

More information

Welcome. Balanced search trees. Balanced Search Trees. Inge Li Gørtz

Welcome. Balanced search trees. Balanced Search Trees. Inge Li Gørtz Welome nge Li Gørt. everse tehing n isussion of exerises: 02110 nge Li Gørt 3 tehing ssistnts 8.00-9.15 Group work 9.15-9.45 isussions of your solutions in lss 10.00-11.15 Leture 11.15-11.45 Work on exerises

More information

sec x over the interval (, ). x ) dx dx x 14. Use a graphing utility to generate some representative integral curves of the function Curve on 5

sec x over the interval (, ). x ) dx dx x 14. Use a graphing utility to generate some representative integral curves of the function Curve on 5 Curve on Clcultor eperience Fin n ownlo (or type in) progrm on your clcultor tht will fin the re uner curve using given number of rectngles. Mke sure tht the progrm fins LRAM, RRAM, n MRAM. (You nee to

More information

Lexical Analysis Finite Automate

Lexical Analysis Finite Automate Lexicl Anlysis Finite Automte CMPSC 470 Lecture 04 Topics: Deterministic Finite Automt (DFA) Nondeterministic Finite Automt (NFA) Regulr Expression NFA DFA A. Finite Automt (FA) FA re grph, like trnsition

More information

D. Harel, Statecharts: A visual formalism for complex systems, Science of Computer Programming 8, 1987, pp

D. Harel, Statecharts: A visual formalism for complex systems, Science of Computer Programming 8, 1987, pp Sttechrts y Kenr ooper ontents Introuction Stte igrms epth (hierrchy) Orthogonlity (concurrency) rocst ommuniction Exmple Prolems Introuction Sttechrts were introuce y vi Hrel in 1987. Hrel, Sttechrts:

More information

ECE 327 Solution to Midterm 2016t1 (Winter)

ECE 327 Solution to Midterm 2016t1 (Winter) ECE 7 Solution to Midterm 6t (Winter) All requests for re-mrks must be submitted in writing to Mrk Agrd before 8:m on ridy Mrch. A rndom collection of midterms were scnned. Exms tht re submitted for re-mrking

More information

Overview of Today s Lecture:

Overview of Today s Lecture: CPS 4 Computer Orgniztion nd Progrmming Lecture : Boolen Alger & gtes. Roert Wgner CPS4 BA. RW Fll 2 Overview of Tody s Lecture: Truth tles, Boolen functions, Gtes nd Circuits Krnugh mps for simplifying

More information

Minimal DFA. minimal DFA for L starting from any other

Minimal DFA. minimal DFA for L starting from any other Miniml DFA Among the mny DFAs ccepting the sme regulr lnguge L, there is exctly one (up to renming of sttes) which hs the smllest possile numer of sttes. Moreover, it is possile to otin tht miniml DFA

More information

Good Review book ( ) ( ) ( )

Good Review book ( ) ( ) ( ) 7/31/2011 34 Boolen (Switching) Algebr Review Good Review book BeBop to the Boolen Boogie: An Unconventionl Guide to Electronics, 2 nd ed. by Clive Mxwell Hightext Publictions Inc. from Amzon.com for pprox.

More information

Fault Modeling. EE5375 ADD II Prof. MacDonald

Fault Modeling. EE5375 ADD II Prof. MacDonald Fult Modeling EE5375 ADD II Prof. McDonld Stuck At Fult Models l Modeling of physicl defects (fults) simplify to logicl fult l stuck high or low represents mny physicl defects esy to simulte technology

More information

22: Union Find. CS 473u - Algorithms - Spring April 14, We want to maintain a collection of sets, under the operations of:

22: Union Find. CS 473u - Algorithms - Spring April 14, We want to maintain a collection of sets, under the operations of: 22: Union Fin CS 473u - Algorithms - Spring 2005 April 14, 2005 1 Union-Fin We wnt to mintin olletion of sets, uner the opertions of: 1. MkeSet(x) - rete set tht ontins the single element x. 2. Fin(x)

More information

INTRODUCTION TO AUTOMATA THEORY

INTRODUCTION TO AUTOMATA THEORY Chpter 3 INTRODUCTION TO AUTOMATA THEORY In this hpter we stuy the most si strt moel of omputtion. This moel els with mhines tht hve finite memory pity. Setion 3. els with mhines tht operte eterministilly

More information

Numbers and indices. 1.1 Fractions. GCSE C Example 1. Handy hint. Key point

Numbers and indices. 1.1 Fractions. GCSE C Example 1. Handy hint. Key point GCSE C Emple 7 Work out 9 Give your nswer in its simplest form Numers n inies Reiprote mens invert or turn upsie own The reiprol of is 9 9 Mke sure you only invert the frtion you re iviing y 7 You multiply

More information

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata CS103B ndout 18 Winter 2007 Ferury 28, 2007 Finite Automt Initil text y Mggie Johnson. Introduction Severl childrens gmes fit the following description: Pieces re set up on plying ord; dice re thrown or

More information

More on automata. Michael George. March 24 April 7, 2014

More on automata. Michael George. March 24 April 7, 2014 More on utomt Michel George Mrch 24 April 7, 2014 1 Automt constructions Now tht we hve forml model of mchine, it is useful to mke some generl constructions. 1.1 DFA Union / Product construction Suppose

More information

CS 330 Formal Methods and Models Dana Richards, George Mason University, Spring 2016 Quiz Solutions

CS 330 Formal Methods and Models Dana Richards, George Mason University, Spring 2016 Quiz Solutions CS 330 Forml Methods nd Models Dn Richrds, George Mson University, Spring 2016 Quiz Solutions Quiz 1, Propositionl Logic Dte: Ferury 9 1. (4pts) ((p q) (q r)) (p r), prove tutology using truth tles. p

More information

2.4 Theoretical Foundations

2.4 Theoretical Foundations 2 Progrmming Lnguge Syntx 2.4 Theoretil Fountions As note in the min text, snners n prsers re se on the finite utomt n pushown utomt tht form the ottom two levels of the Chomsky lnguge hierrhy. At eh level

More information

CSE 332. Sorting. Data Abstractions. CSE 332: Data Abstractions. QuickSort Cutoff 1. Where We Are 2. Bounding The MAXIMUM Problem 4

CSE 332. Sorting. Data Abstractions. CSE 332: Data Abstractions. QuickSort Cutoff 1. Where We Are 2. Bounding The MAXIMUM Problem 4 Am Blnk Leture 13 Winter 2016 CSE 332 CSE 332: Dt Astrtions Sorting Dt Astrtions QuikSort Cutoff 1 Where We Are 2 For smll n, the reursion is wste. The onstnts on quik/merge sort re higher thn the ones

More information

Particle Physics. Michaelmas Term 2011 Prof Mark Thomson. Handout 3 : Interaction by Particle Exchange and QED. Recap

Particle Physics. Michaelmas Term 2011 Prof Mark Thomson. Handout 3 : Interaction by Particle Exchange and QED. Recap Prtile Physis Mihelms Term 2011 Prof Mrk Thomson g X g X g g Hnout 3 : Intertion y Prtile Exhnge n QED Prof. M.A. Thomson Mihelms 2011 101 Rep Working towrs proper lultion of ey n sttering proesses lnitilly

More information

Digital Circuit Engineering

Digital Circuit Engineering Digitl Ciruit Engineering 5 INPUT MAPS (A) Loop squres with no friens, they n never shre. (B) Loop squres with no rothers, n simutneously loop their friens. Iterte: (A') Loop squres seperte from friens

More information

Digital Circuit Engineering

Digital Circuit Engineering Digitl Ciruit Engineering 5 IN UT P MAPS (A) Loop squres with no friens, they n never shre. (B) Loop squres with no rothers, n simutneously loop their friens. Iterte: (A') Loop squres seperte from friens

More information

EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania

EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania 1 EE 560 ITROUTIO Kenneth R. Lker, University of ennsylvni ORERIG OF TOIS 2 MOS Friction MOS Trnsistor Model Two Trnsistor ircuits (Inverters) Logic ircuits, Gtes, Ltches Regulr Structures ROMs, RMs, Ls

More information

Arrow s Impossibility Theorem

Arrow s Impossibility Theorem Rep Voting Prdoxes Properties Arrow s Theorem Arrow s Impossiility Theorem Leture 12 Arrow s Impossiility Theorem Leture 12, Slide 1 Rep Voting Prdoxes Properties Arrow s Theorem Leture Overview 1 Rep

More information

First Midterm Examination

First Midterm Examination 24-25 Fll Semester First Midterm Exmintion ) Give the stte digrm of DFA tht recognizes the lnguge A over lphet Σ = {, } where A = {w w contins or } 2) The following DFA recognizes the lnguge B over lphet

More information

ECE223. R eouven Elbaz Office room: DC3576

ECE223. R eouven Elbaz Office room: DC3576 ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers

More information

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS CS 310 (sec 20) - Winter 2003 - Finl Exm (solutions) SOLUTIONS 1. (Logic) Use truth tles to prove the following logicl equivlences: () p q (p p) (q q) () p q (p q) (p q) () p q p q p p q q (q q) (p p)

More information

Alpha Algorithm: Limitations

Alpha Algorithm: Limitations Proess Mining: Dt Siene in Ation Alph Algorithm: Limittions prof.dr.ir. Wil vn der Alst www.proessmining.org Let L e n event log over T. α(l) is defined s follows. 1. T L = { t T σ L t σ}, 2. T I = { t

More information

CSE 401 Compilers. Today s Agenda

CSE 401 Compilers. Today s Agenda CSE 401 Compilers Leture 3: Regulr Expressions & Snning, on?nued Mihel Ringenurg Tody s Agend Lst?me we reviewed lnguges nd grmmrs, nd riefly strted disussing regulr expressions. Tody I ll restrt the regulr

More information

Exercise 3 Logic Control

Exercise 3 Logic Control Exerise 3 Logi Control OBJECTIVE The ojetive of this exerise is giving n introdution to pplition of Logi Control System (LCS). Tody, LCS is implemented through Progrmmle Logi Controller (PLC) whih is lled

More information

The University of Nottingham SCHOOL OF COMPUTER SCIENCE A LEVEL 2 MODULE, SPRING SEMESTER MACHINES AND THEIR LANGUAGES ANSWERS

The University of Nottingham SCHOOL OF COMPUTER SCIENCE A LEVEL 2 MODULE, SPRING SEMESTER MACHINES AND THEIR LANGUAGES ANSWERS The University of ottinghm SCHOOL OF COMPUTR SCIC A LVL 2 MODUL, SPRIG SMSTR 2015 2016 MACHIS AD THIR LAGUAGS ASWRS Time llowed TWO hours Cndidtes my omplete the front over of their nswer ook nd sign their

More information

where the box contains a finite number of gates from the given collection. Examples of gates that are commonly used are the following: a b

where the box contains a finite number of gates from the given collection. Examples of gates that are commonly used are the following: a b CS 294-2 9/11/04 Quntum Ciruit Model, Solovy-Kitev Theorem, BQP Fll 2004 Leture 4 1 Quntum Ciruit Model 1.1 Clssil Ciruits - Universl Gte Sets A lssil iruit implements multi-output oolen funtion f : {0,1}

More information