System Level Power with IEEE1801. Sushma Honnavara-Prasad, Secretary, IEEE P1801 WG

Size: px
Start display at page:

Download "System Level Power with IEEE1801. Sushma Honnavara-Prasad, Secretary, IEEE P1801 WG"

Transcription

1 System Level Pwer with IEEE1801 Sushma Hnnavara-Prasad, Secretary, IEEE P1801 WG

2 Pwer Mdel Data Flw: get_pwer 2415 pwer Unified pwer abstractin f cmpnents and the whle device fr FW, OS and apps develpment Describes SW/system-level clcks, vltages, states/transitins, events/cntrl, latencies/pwer Cnnects the pwer/energy design flw f HW and SW, IP prducers and integratrs (states) (states) 1801pwer (states) pwer get_pwer get_pwer pwer pwer (state, get_pwer pwer pwer f,v,t) (state, get_pwer pwer f,v,t) (state, pwer f,v,t) 2416 (mdes, 2416 transitins, (mdes, cntributrs) 2416 transitins, (mdes, cntributrs) transitins, IP Cmpnent cntributrs) IP Cmpnent Pwer IP Cmpnent Mdel Pwer IP Cmpnent Mdel Pwer Mdel Pwer Mdel IP Cmpnent Pwer State Mdel Pwer dmains fr the cmpnent Pwer state definitins per pwer dmain Legal transitins between pwer states Pwer functin fr each pwer state IP Cmpnent state-based pwer data Semantics fr pwer/energy views f cmplex IP Frmalized PVT independence Pwer cntributrs per (pwer & functinal) mde Energy cntributrs per mde transitin Cmputatinally efficient PVT binding Multi level rganizatin fr multiple views 2

3 P1801: IEEE SA Entity Based Wrk Grup

4 IEEE 1801 Timeline UPF 1.0 Kick ff Meeting UPF 1.0 Dnated t IEEE New Prject Revisin f CPF 2.0 Dnated t IEEE New Prject Revisin f New Prject Amendment f Accellera UPF 1.0 Published IEEE (AKA UPF 2.0) Published (AKA UPF 2.1) Published 1801a 2014 (AKA UPF 2.2) Published (AKA UPF 3.0) Planned 4

5 System Level Pwer (SLP) Challenge: System Level Pwer depends n perspective: SW Centric: abstract, task, transactin/event based HW Centric: detailed, cmpnent, state/level based As an industry we need t bridge this gap: Tp dwn: Add detail t the abstract SW centric wrld f system perfrmance mdelling. Bttm up: Add abstractin t detailed HW centric wrld f RTL+UPF implementatin IEEE 1801: extend UPF as far as apprpriate Raise abstractin level f pwer intent Need a better understanding f the system level requirements. IEEE 1801: wrk clsely with ther standards grups Maximize cherence and interperability Minimize divergence and duplicatin 5

6 Hw des 1801 address SLP? DOES: Represent a HW system with cmpnent pwer mdels begin_pwer_mdel, end_pwer_mdel Define pwer dmains fr the cmpnent create_pwer_dmain Define legal pwer states fr pwer dmains and state transitins add_pwer_state, add_state_transitin Identify pwer functin fr each pwer state -state { x -pwer_expr { } } DOES NOT: Describe pwer mdel stimuli Dictate pwer dissipatin algrithms Describe characterisatin methdlgy 6

7 System Level IP Pwer Mdel Enumerated pwer dmains and pwer states All pwer states f interest within the cmpnent Pwer dmains defined fr majr blcks within the IP Pwer states applied t pwer dmains Interface t IP pwer characterizatin data Pwer functins used t calculate pwer cnsumptin Characterizatin data maintained utside f pwer mdel Each pwer state has a defined pwer functin Definitin f all legal pwer state transitins All legal transitins between pwer states identified Activatin f pwer states ccurs utside f the mdel Activatin nly successful fr legal pwer state transitins 7 7

8 Interested in wrking n UPF? Jin the wrking grup! Send t inf@p1801.rg UPF.html 8 8

9 BACKUP

10 IEEE Planned Updates Extend scpe f Pwer Intent up twards System Level Add pwer mdeling and estimatin capabilities Standardised Infrmatin Mdel fr query functins and package UPF Pwer States/Transitin refinements Cnsider further UPF/CPF methdlgy cnvergence Enhance and extend Lw Pwer Methdlgy Annex Elabrate n the Successive Refinement methdlgy IEEE t be published late

Fall 2013 Physics 172 Recitation 3 Momentum and Springs

Fall 2013 Physics 172 Recitation 3 Momentum and Springs Fall 03 Physics 7 Recitatin 3 Mmentum and Springs Purpse: The purpse f this recitatin is t give yu experience wrking with mmentum and the mmentum update frmula. Readings: Chapter.3-.5 Learning Objectives:.3.

More information

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink American Jurnal f Engineering Research (AJER) 016 American Jurnal f Engineering Research (AJER) e-issn: 30-0847 p-issn : 30-0936 Vlume-5, Issue-, pp-9-36 www.ajer.rg Research Paper Open Access Design and

More information

Mathematics in H2020. ICT Proposers' Day. Anni Hellman DG CONNECT European Commission

Mathematics in H2020. ICT Proposers' Day. Anni Hellman DG CONNECT European Commission Mathematics in H2020 ICT Prpsers' Day Anni Hellman DG CONNECT Eurpean Cmmissin The cnclusins frm ur cnsultatin n mathematics fr H2020 Why mathematics is imprtant in prpsals Messages frm mathematicians

More information

A Novel Electro-thermal Simulation Approach to Power IGBT Modules for Automotive Traction Applications

A Novel Electro-thermal Simulation Approach to Power IGBT Modules for Automotive Traction Applications Special Issue Recent R&D Activities f Pwer Devices fr Hybrid Electric Vehicles 27 Research Reprt A Nvel Electr-thermal Simulatin Apprach t Pwer IGBT Mdules fr Autmtive Tractin Applicatins Takashi Kjima,

More information

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC.

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC. PHYSIS 536 Experiment 4: D Pwer Supply I. Intrductin The prcess f changing A t D is investigated in this experiment. An integrated circuit regulatr makes it easy t cnstruct a high-perfrmance vltage surce

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables ECE 545 Prject Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cde 6_verificatin 7_timing_analysis 8_results

More information

the results to larger systems due to prop'erties of the projection algorithm. First, the number of hidden nodes must

the results to larger systems due to prop'erties of the projection algorithm. First, the number of hidden nodes must M.E. Aggune, M.J. Dambrg, M.A. El-Sharkawi, R.J. Marks II and L.E. Atlas, "Dynamic and static security assessment f pwer systems using artificial neural netwrks", Prceedings f the NSF Wrkshp n Applicatins

More information

Green economic transformation in Europe: territorial performance, potentials and implications

Green economic transformation in Europe: territorial performance, potentials and implications ESPON Wrkshp: Green Ecnmy in Eurpean Regins? Green ecnmic transfrmatin in Eurpe: territrial perfrmance, ptentials and implicatins Rasmus Ole Rasmussen, NORDREGIO 29 September 2014, Brussels Green Grwth:

More information

Increasing Heat Transfer in Microchannels with Surface Acoustic Waves*

Increasing Heat Transfer in Microchannels with Surface Acoustic Waves* Increasing Heat Transfer in Micrchannels with Surface Acustic Waves* Shaun Berry 0/9/04 *This wrk was spnsred by the Department f the Air Frce under Air Frce Cntract #FA87-05-C-000. Opinins, interpretatins,

More information

Measurement of Radial Loss and Lifetime. of Microwave Plasma in the Octupo1e. J. C. Sprott PLP 165. Plasma Studies. University of Wisconsin DEC 1967

Measurement of Radial Loss and Lifetime. of Microwave Plasma in the Octupo1e. J. C. Sprott PLP 165. Plasma Studies. University of Wisconsin DEC 1967 Measurement f Radial Lss and Lifetime f Micrwave Plasma in the Octup1e J. C. Sprtt PLP 165 Plasma Studies University f Wiscnsin DEC 1967 1 The number f particles in the tridal ctuple was measured as a

More information

Energy and Power. Historical Scaling. Technology Scaling. CPU_power_dissipation

Energy and Power. Historical Scaling. Technology Scaling.  CPU_power_dissipation http://en.wikipedia.rg/wiki/ CPU_pwer_dissipatin Energy and Pwer http://en.wikipedia.rg/wiki/ CMOS#Pwer:_switching_and_leakage http://www.xbitlabs.cm/articles/cpu/display/ cre-i5-2500t-2390t-i3-2100t-pentiumg620t.html

More information

ECE 2100 Circuit Analysis

ECE 2100 Circuit Analysis ECE 00 Circuit Analysis Lessn 6 Chapter 4 Sec 4., 4.5, 4.7 Series LC Circuit C Lw Pass Filter Daniel M. Litynski, Ph.D. http://hmepages.wmich.edu/~dlitynsk/ ECE 00 Circuit Analysis Lessn 5 Chapter 9 &

More information

I. Analytical Potential and Field of a Uniform Rod. V E d. The definition of electric potential difference is

I. Analytical Potential and Field of a Uniform Rod. V E d. The definition of electric potential difference is Length L>>a,b,c Phys 232 Lab 4 Ch 17 Electric Ptential Difference Materials: whitebards & pens, cmputers with VPythn, pwer supply & cables, multimeter, crkbard, thumbtacks, individual prbes and jined prbes,

More information

ENG2410 Digital Design Sequential Circuits: Part A

ENG2410 Digital Design Sequential Circuits: Part A ENG2410 Digital Design Sequential Circuits: Part A Fall 2017 S. Areibi Schl f Engineering University f Guelph Week #6 Tpics Sequential Circuit Definitins Latches Flip-Flps Delays in Sequential Circuits

More information

ENSC Discrete Time Systems. Project Outline. Semester

ENSC Discrete Time Systems. Project Outline. Semester ENSC 49 - iscrete Time Systems Prject Outline Semester 006-1. Objectives The gal f the prject is t design a channel fading simulatr. Upn successful cmpletin f the prject, yu will reinfrce yur understanding

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Netwrk Thery Bradband Circuit Design Fall 014 Lecture 11: VCO Phase Nise Sam Palerm Analg & Mixed-Signal Center Texas A&M University Annuncements & Agenda HW3 is due tday at 5PM Phase Nise Definitin

More information

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS ECE 497 JS Lecture - 14 Prjects: FDTD & LVDS Spring 2004 Jse E. Schutt-Aine Electrical & Cmputer Engineering University f Illinis jse@emlab.uiuc.edu 1 ECE 497 JS - Prjects All prjects shuld be accmpanied

More information

8 th Grade Math: Pre-Algebra

8 th Grade Math: Pre-Algebra Hardin Cunty Middle Schl (2013-2014) 1 8 th Grade Math: Pre-Algebra Curse Descriptin The purpse f this curse is t enhance student understanding, participatin, and real-life applicatin f middle-schl mathematics

More information

Aircraft Performance - Drag

Aircraft Performance - Drag Aircraft Perfrmance - Drag Classificatin f Drag Ntes: Drag Frce and Drag Cefficient Drag is the enemy f flight and its cst. One f the primary functins f aerdynamicists and aircraft designers is t reduce

More information

Lecture 02 CSE 40547/60547 Computing at the Nanoscale

Lecture 02 CSE 40547/60547 Computing at the Nanoscale PN Junctin Ntes: Lecture 02 CSE 40547/60547 Cmputing at the Nanscale Letʼs start with a (very) shrt review f semi-cnducting materials: - N-type material: Obtained by adding impurity with 5 valence elements

More information

Activity Guide Loops and Random Numbers

Activity Guide Loops and Random Numbers Unit 3 Lessn 7 Name(s) Perid Date Activity Guide Lps and Randm Numbers CS Cntent Lps are a relatively straightfrward idea in prgramming - yu want a certain chunk f cde t run repeatedly - but it takes a

More information

EDA Engineering Design & Analysis Ltd

EDA Engineering Design & Analysis Ltd EDA Engineering Design & Analysis Ltd THE FINITE ELEMENT METHOD A shrt tutrial giving an verview f the histry, thery and applicatin f the finite element methd. Intrductin Value f FEM Applicatins Elements

More information

Chaotic behavior of the piccolo

Chaotic behavior of the piccolo Buens Aires 5 t 9 September, 2016 Acustics fr the 21 st Century PROCEEDINGS f the 22 nd Internatinal Cngress n Acustics Numerical Cmputatin in Musical Acustics: Paper ICA2016-54 Chatic behavir f the piccl

More information

Admin. MDP Search Trees. Optimal Quantities. Reinforcement Learning

Admin. MDP Search Trees. Optimal Quantities. Reinforcement Learning Admin Reinfrcement Learning Cntent adapted frm Berkeley CS188 MDP Search Trees Each MDP state prjects an expectimax-like search tree Optimal Quantities The value (utility) f a state s: V*(s) = expected

More information

A Quick Overview of the. Framework for K 12 Science Education

A Quick Overview of the. Framework for K 12 Science Education A Quick Overview f the NGSS EQuIP MODULE 1 Framewrk fr K 12 Science Educatin Mdule 1: A Quick Overview f the Framewrk fr K 12 Science Educatin This mdule prvides a brief backgrund n the Framewrk fr K-12

More information

Applied Structural Geology in Exploration and Mining: A Practical Workshop

Applied Structural Geology in Exploration and Mining: A Practical Workshop 1 Applied Structural Gelgy in Explratin and Mining: A Practical Wrkshp Overview Presenter: Lcatin: SRK Kazakhstan SRK Kazakhstan SRK Cnsulting (Kazakhstan) Ltd 2015. All rights Wrkshp Intrductin 2 Technical

More information

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax .7.4: Direct frequency dmain circuit analysis Revisin: August 9, 00 5 E Main Suite D Pullman, WA 9963 (509) 334 6306 ice and Fax Overview n chapter.7., we determined the steadystate respnse f electrical

More information

Misc. ArcMap Stuff Andrew Phay

Misc. ArcMap Stuff Andrew Phay Misc. ArcMap Stuff Andrew Phay aphay@whatcmcd.rg Prjectins Used t shw a spherical surface n a flat surface Distrtin Shape Distance True Directin Area Different Classes Thse that minimize distrtin in shape

More information

initially lcated away frm the data set never win the cmpetitin, resulting in a nnptimal nal cdebk, [2] [3] [4] and [5]. Khnen's Self Organizing Featur

initially lcated away frm the data set never win the cmpetitin, resulting in a nnptimal nal cdebk, [2] [3] [4] and [5]. Khnen's Self Organizing Featur Cdewrd Distributin fr Frequency Sensitive Cmpetitive Learning with One Dimensinal Input Data Aristides S. Galanpuls and Stanley C. Ahalt Department f Electrical Engineering The Ohi State University Abstract

More information

Technical Bulletin. Generation Interconnection Procedures. Revisions to Cluster 4, Phase 1 Study Methodology

Technical Bulletin. Generation Interconnection Procedures. Revisions to Cluster 4, Phase 1 Study Methodology Technical Bulletin Generatin Intercnnectin Prcedures Revisins t Cluster 4, Phase 1 Study Methdlgy Release Date: Octber 20, 2011 (Finalizatin f the Draft Technical Bulletin released n September 19, 2011)

More information

1.1 The main transmission network of Eskom The classical two generator model 11

1.1 The main transmission network of Eskom The classical two generator model 11 LIST OF FIGURS Figure Page 1.1 The main transmissin netwrk f skm 4 2.1 The classical tw generatr mdel 11 2.2 Obtaining the lcatin f the electrical centre. The line cnnecting A with B represents the netwrk

More information

Emphases in Common Core Standards for Mathematical Content Kindergarten High School

Emphases in Common Core Standards for Mathematical Content Kindergarten High School Emphases in Cmmn Cre Standards fr Mathematical Cntent Kindergarten High Schl Cntent Emphases by Cluster March 12, 2012 Describes cntent emphases in the standards at the cluster level fr each grade. These

More information

LCA14-206: Scheduler tooling and benchmarking. Tue-4-Mar, 11:15am, Zoran Markovic, Vincent Guittot

LCA14-206: Scheduler tooling and benchmarking. Tue-4-Mar, 11:15am, Zoran Markovic, Vincent Guittot LCA14-206: Scheduler tling and benchmarking Tue-4-Mar, 11:15am, Zran Markvic, Vincent Guittt Scheduler Tls and Benchmarking Frm Energy Aware mini-summit @ Ksummit 2013 extract frm [1]: Ing Mlnar came in

More information

Packaging in trays T 850 Traysealer

Packaging in trays T 850 Traysealer Packaging in trays T 850 Traysealer 2 MULTIVAC is a majr manufacturer f autmatic traysealers. Each machine is individually designed t each custmer s specific requirements in terms f tray and prduct infeed,

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

APPLICATION GUIDE (v4.1)

APPLICATION GUIDE (v4.1) 2.2.3 VitalSensrs VS-300 Sensr Management Statin Remte/Relay Guide Implementing Remte-IN/Relay-OUT Digital I/O Fieldbus Objective: Equipment: Becme familiar with the instrument wiring requirements fr the

More information

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems.

Building to Transformations on Coordinate Axis Grade 5: Geometry Graph points on the coordinate plane to solve real-world and mathematical problems. Building t Transfrmatins n Crdinate Axis Grade 5: Gemetry Graph pints n the crdinate plane t slve real-wrld and mathematical prblems. 5.G.1. Use a pair f perpendicular number lines, called axes, t define

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN60: Netwrk Thery Bradband Circuit Design Fall 01 Lecture 16: VCO Phase Nise Sam Palerm Analg & Mixed-Signal Center Texas A&M University Agenda Phase Nise Definitin and Impact Ideal Oscillatr Phase

More information

Standard Title: Frequency Response and Frequency Bias Setting. Andrew Dressel Holly Hawkins Maureen Long Scott Miller

Standard Title: Frequency Response and Frequency Bias Setting. Andrew Dressel Holly Hawkins Maureen Long Scott Miller Template fr Quality Review f NERC Reliability Standard BAL-003-1 Frequency Respnse and Frequency Bias Setting Basic Infrmatin: Prject number: 2007-12 Standard number: BAL-003-1 Prject title: Frequency

More information

IXD4902. Three-Terminal Negative Voltage Regulator FEATURES DESCRIPTION APPLICATIONS

IXD4902. Three-Terminal Negative Voltage Regulator FEATURES DESCRIPTION APPLICATIONS Three-Terminal Negative Vltage Regulatr FEATURES Output Current up t 200 ma (350 ma max) Input Vltage Range -2.4 V - -16 V Output Vltage Range frm -2.5 V t -12 V (fixed values) Drput Vltage 400 mv @ 100

More information

Power plants Robustificaton based On fault DetectIon (PRODI)

Power plants Robustificaton based On fault DetectIon (PRODI) SEVENTH FRAMEWORK PROGRAMME THEME 3: INFORMATION AND COMMUNICATION TECHNOLOGIES Pwer plants Rbustificatn based On fault DetectIn (PRODI) Prf. Zeljk Djurvic Faculty f Electrical Engineering University f

More information

Edexcel GCSE Physics

Edexcel GCSE Physics Edexcel GCSE Physics Tpic 10: Electricity and circuits Ntes (Cntent in bld is fr Higher Tier nly) www.pmt.educatin The Structure f the Atm Psitively charged nucleus surrunded by negatively charged electrns

More information

Interoperability/ Conformance Test dpmr Mode 1

Interoperability/ Conformance Test dpmr Mode 1 Interperability/ Cnfrance Test dpmr Mde 1 IOP test Mde 1 Cpyright 2012 dpmr MU All Rights Reserved Draft Versin 1.1 0 Revisin Histry Versin Date Change By 0v1 21 Nv 2011 First draft derived fr ISF/CSF

More information

EASTERN ARIZONA COLLEGE Precalculus Trigonometry

EASTERN ARIZONA COLLEGE Precalculus Trigonometry EASTERN ARIZONA COLLEGE Precalculus Trignmetry Curse Design 2017-2018 Curse Infrmatin Divisin Mathematics Curse Number MAT 181 Title Precalculus Trignmetry Credits 3 Develped by Gary Rth Lecture/Lab Rati

More information

ENG2410 Digital Design Sequencing and Control

ENG2410 Digital Design Sequencing and Control ENG24 igital esign equencing and ntrl atapath cnsists f: Parts f PU Registers, Multiplers, dders, ubtractrs and lgic t perfrm peratins n data (mb Lgic) ntrl unit Generates signals t cntrl data-path ccepts

More information

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems On ehaviral Mdel quivalence Checking fr Large Analg/Mixed Signal Systems Amandeep Singh and Peng Li Department f lectrical and Cmputer ngineering Texas A&M University, Cllege Statin, TX 77843 {amandeep.singh,

More information

Module 4: General Formulation of Electric Circuit Theory

Module 4: General Formulation of Electric Circuit Theory Mdule 4: General Frmulatin f Electric Circuit Thery 4. General Frmulatin f Electric Circuit Thery All electrmagnetic phenmena are described at a fundamental level by Maxwell's equatins and the assciated

More information

Petrel TIPS&TRICKS from SCM

Petrel TIPS&TRICKS from SCM Petrel TIPS&TRICKS frm SCM Knwledge Wrth Sharing Planning a Petrel Prject Ding a Petrel prject is like digging a hle in yur backyard. Yu start knwing hw big the hle is t be, hw deep yu will g, and that

More information

Checking the resolved resonance region in EXFOR database

Checking the resolved resonance region in EXFOR database Checking the reslved resnance regin in EXFOR database Gttfried Bertn Sciété de Calcul Mathématique (SCM) Oscar Cabells OECD/NEA Data Bank JEFF Meetings - Sessin JEFF Experiments Nvember 0-4, 017 Bulgne-Billancurt,

More information

THE LIFE OF AN OBJECT IT SYSTEMS

THE LIFE OF AN OBJECT IT SYSTEMS THE LIFE OF AN OBJECT IT SYSTEMS Persns, bjects, r cncepts frm the real wrld, which we mdel as bjects in the IT system, have "lives". Actually, they have tw lives; the riginal in the real wrld has a life,

More information

Varying Sentence Length

Varying Sentence Length WR.3.C NARRATIVE SUPPLEMENTAL SKILLS INSTRUCTION Varying Sentence Length Lessns WR.3.A F ffer direct instructin n discrete skills and shuld be implemented between Lessns 10 and 11. Students may benefit

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 4: Mdel checing fr ODE mdels In Petre Department f IT, Åb Aademi http://www.users.ab.fi/ipetre/cmpmd/ Cntent Stichimetric matrix Calculating the mass cnservatin relatins

More information

Eric Klein and Ning Sa

Eric Klein and Ning Sa Week 12. Statistical Appraches t Netwrks: p1 and p* Wasserman and Faust Chapter 15: Statistical Analysis f Single Relatinal Netwrks There are fur tasks in psitinal analysis: 1) Define Equivalence 2) Measure

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

Purchase Order Workflow Processing

Purchase Order Workflow Processing P a g e 1 Purchase Order Wrkflw Prcessing P a g e 2 Table f Cntents PO Wrkflw Prcessing...3 Create a Purchase Order...3 Submit a Purchase Order...4 Review/Apprve the PO...4 Prcess the PO...6 P a g e 3

More information

Application Of Mealy Machine And Recurrence Relations In Cryptography

Application Of Mealy Machine And Recurrence Relations In Cryptography Applicatin Of Mealy Machine And Recurrence Relatins In Cryptgraphy P. A. Jytirmie 1, A. Chandra Sekhar 2, S. Uma Devi 3 1 Department f Engineering Mathematics, Andhra University, Visakhapatnam, IDIA 2

More information

This project has received funding from the European Union s Horizon 2020 research and innovation programme under grant agreement number

This project has received funding from the European Union s Horizon 2020 research and innovation programme under grant agreement number This prject has received funding frm the Eurpean Unin s Hrizn 2020 research and innvatin prgramme under grant agreement number 727524. Credit t & http://www.h3uni.rg/ https://ec.eurpa.eu/jrc/en/publicatin/eur-scientific-andtechnical-research-reprts/behaviural-insights-appliedplicy-eurpean-reprt-2016

More information

Department of Electrical Engineering, University of Waterloo. Introduction

Department of Electrical Engineering, University of Waterloo. Introduction Sectin 4: Sequential Circuits Majr Tpics Types f sequential circuits Flip-flps Analysis f clcked sequential circuits Mre and Mealy machines Design f clcked sequential circuits State transitin design methd

More information

A Model for Assessing Impacts of Small and Medium Enterprises Lack of Systems Engineering Capability on Systems Development Projects

A Model for Assessing Impacts of Small and Medium Enterprises Lack of Systems Engineering Capability on Systems Development Projects A Mdel fr Assessing Impacts f Small and Medium Enterprises Lack f Systems Engineering Capability n Systems Develpment Prjects Thmas V. Huynh aval Pstgraduate Schl Mnterey, CA 93943, USA thuynh@nps.edu

More information

CONSTRUCTING STATECHART DIAGRAMS

CONSTRUCTING STATECHART DIAGRAMS CONSTRUCTING STATECHART DIAGRAMS The fllwing checklist shws the necessary steps fr cnstructing the statechart diagrams f a class. Subsequently, we will explain the individual steps further. Checklist 4.6

More information

Ecology 302 Lecture III. Exponential Growth (Gotelli, Chapter 1; Ricklefs, Chapter 11, pp )

Ecology 302 Lecture III. Exponential Growth (Gotelli, Chapter 1; Ricklefs, Chapter 11, pp ) Eclgy 302 Lecture III. Expnential Grwth (Gtelli, Chapter 1; Ricklefs, Chapter 11, pp. 222-227) Apcalypse nw. The Santa Ana Watershed Prject Authrity pulls n punches in prtraying its missin in apcalyptic

More information

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment Presented at the COMSOL Cnference 2008 Hannver University f Parma Department f Industrial Engineering Numerical Simulatin f the Thermal Respsne Test Within the Cmsl Multiphysics Envirnment Authr : C. Crradi,

More information

Dataflow Analysis and Abstract Interpretation

Dataflow Analysis and Abstract Interpretation Dataflw Analysis and Abstract Interpretatin Cmputer Science and Artificial Intelligence Labratry MIT Nvember 9, 2015 Recap Last time we develped frm first principles an algrithm t derive invariants. Key

More information

NEBB-ASHRAE Technical E-Learning Courses

NEBB-ASHRAE Technical E-Learning Courses NEBB-ASHRAE Technical E-Learning Curses If yu re a NEBB certificatin Candidate lking t enhance yur knwledge within a specific area, OR if yu already are a NEBB Certified Prfessinal r Certified Technician

More information

Space Shuttle Ascent Mass vs. Time

Space Shuttle Ascent Mass vs. Time Space Shuttle Ascent Mass vs. Time Backgrund This prblem is part f a series that applies algebraic principles in NASA s human spaceflight. The Space Shuttle Missin Cntrl Center (MCC) and the Internatinal

More information

Fabrication Thermal Test. Methodology for a Safe Cask Thermal Performance

Fabrication Thermal Test. Methodology for a Safe Cask Thermal Performance ENSA (Grup SEPI) Fabricatin Thermal Test. Methdlgy fr a Safe Cask Thermal Perfrmance IAEA Internatinal Cnference n the Management f Spent Fuel frm Nuclear Pwer Reactrs An Integrated Apprach t the Back-End

More information

ENG2410 Digital Design Sequential Circuits: Part B

ENG2410 Digital Design Sequential Circuits: Part B ENG24 Digital Design Sequential Circuits: Part B Fall 27 S. Areibi Schl f Engineering University f Guelph Analysis f Sequential Circuits Earlier we learned hw t analyze cmbinatinal circuits We will extend

More information

Protection of ungrounded systems using an advanced relay element

Protection of ungrounded systems using an advanced relay element ENG 460 Prtectin f ungrunded systems using an advanced relay element A reprt submitted t the schl f Engineering and Energy, Murdch University in partial fulfilment f the requirements fr the degree f Bachelr

More information

Lecture 13: Electrochemical Equilibria

Lecture 13: Electrochemical Equilibria 3.012 Fundamentals f Materials Science Fall 2005 Lecture 13: 10.21.05 Electrchemical Equilibria Tday: LAST TIME...2 An example calculatin...3 THE ELECTROCHEMICAL POTENTIAL...4 Electrstatic energy cntributins

More information

, which yields. where z1. and z2

, which yields. where z1. and z2 The Gaussian r Nrmal PDF, Page 1 The Gaussian r Nrmal Prbability Density Functin Authr: Jhn M Cimbala, Penn State University Latest revisin: 11 September 13 The Gaussian r Nrmal Prbability Density Functin

More information

Verification of NIMs Baseline Data Reports and Methodology Reports

Verification of NIMs Baseline Data Reports and Methodology Reports hzkwekdd/^^/ke /ZdKZd 'EZ> >/Ddd/KE / D ' d h d^ dddd Verificatin f NIMs Baseline Data Reprts and Methdlgy Reprts & dd dddd d d /EdZKhd/KE d > Z d / d K d d ZK'E/d/KEK&sZ/&/Z^ d d e d d,sz/&/d/kewzk^^

More information

PAP Biology Unit 4 Cellular Structure and Function

PAP Biology Unit 4 Cellular Structure and Function PAP Bilgy Unit 4 Cellular Structure and Functin Unit Outline LT 1 I can label the parts f a micrscpe and describe hw t use ne crrectly in lab. I can lcate and describe the functin f the different cmpnents

More information

Varying Sentence Length

Varying Sentence Length WR.2.E INFORMATIVE SUPPLEMENTAL SKILLS INSTRUCTION Varying Sentence Length Lessns WR.2.A G ffer direct instructin n discrete skills and shuld be implemented between Lessns 10 and 11. Students may benefit

More information

Mass Production Rate. Solid Propellant Burning Rate

Mass Production Rate. Solid Propellant Burning Rate Mass Prductin Rate Prpellant cnverted t gas at rate given by m r (VI.) s b (Surface) Regressin Rate r r dx smetimes r b standard mdel (Burning Rate Law r St. Rbert s Law, als Vielle s Law) n (VI.2) r ap

More information

ECEN 4872/5827 Lecture Notes

ECEN 4872/5827 Lecture Notes ECEN 4872/5827 Lecture Ntes Lecture #5 Objectives fr lecture #5: 1. Analysis f precisin current reference 2. Appraches fr evaluating tlerances 3. Temperature Cefficients evaluatin technique 4. Fundamentals

More information

Professional Development. Implementing the NGSS: High School Physics

Professional Development. Implementing the NGSS: High School Physics Prfessinal Develpment Implementing the NGSS: High Schl Physics This is a dem. The 30-min vide webinar is available in the full PD. Get it here. Tday s Learning Objectives NGSS key cncepts why this is different

More information

Physics-of-Failure Approach to

Physics-of-Failure Approach to Physics-f-Failure Apprach t Integrated Circuit Reliability IVF (Gteburg, Sweden) April 12, 2011 1 FIT (000) Trading Reliability fr Perfrmance 10 8 6 4 2 0 Dielectric breakdwn failure rate vs. feature size

More information

Domains: Operations and Algebraic Thinking Clusters: Clusters outlined in bold should drive the learning for this period of instruction.

Domains: Operations and Algebraic Thinking Clusters: Clusters outlined in bold should drive the learning for this period of instruction. Weeks 6-10 September/Octber/Nvember envisinmath2.0 Tpics 3-4 Critical Area(s): Multiplicatin and Divisin FOCUS fr Grade 3 Majr Wrk 70% f time Supprting Wrk 20% f time Additinal Wrk 10% f time 3.OA.A.1-2-3-4

More information

Data mining/machine learning large data sets. STA 302 or 442 (Applied Statistics) :, 1

Data mining/machine learning large data sets. STA 302 or 442 (Applied Statistics) :, 1 Data mining/machine learning large data sets STA 302 r 442 (Applied Statistics) :, 1 Data mining/machine learning large data sets high dimensinal spaces STA 302 r 442 (Applied Statistics) :, 2 Data mining/machine

More information

Differentiation Applications 1: Related Rates

Differentiation Applications 1: Related Rates Differentiatin Applicatins 1: Related Rates 151 Differentiatin Applicatins 1: Related Rates Mdel 1: Sliding Ladder 10 ladder y 10 ladder 10 ladder A 10 ft ladder is leaning against a wall when the bttm

More information

TUTORIAL B1.31 TB 538

TUTORIAL B1.31 TB 538 TUTORIAL B1.31 TB 538 RECOMMENDATIONS FOR TESTING OF SUPERCONDUCTING CABLES Cnvener: D Lindsay (US) Secretary: T Masuda (JP) Page 1 Cpyright DISCLAIMER Ownership f a CIGRE publicatin, whether in paper

More information

Lesson 19. Introduction. Standards

Lesson 19. Introduction. Standards 12.1.1 Lessn 19 Intrductin In this lessn, students analyze a sectin frm chapter 14 f The Autbigraphy f Malclm X, pages 268 270 (frm In 1961, ur Natin flurished t Nthing that Mr. Muhammad ever said t me

More information

Next Generation Design and Verification Today New Developments in UPF 3.0

Next Generation Design and Verification Today New Developments in UPF 3.0 Next Generation Design and Verification Today New Developments in 3.0 Erich Marschner, Vice-Chair, IEEE P1801 WG P1801: IEEE-SA Entity Based Work Group 2014 ARM Ltd 2 IEEE 1801 () timeline -1.0-1.0 New

More information

Lower Limb Stiffness Estimation during Running: The Effect of Using Kinematic Constraints in Muscle Force Optimization Algorithms

Lower Limb Stiffness Estimation during Running: The Effect of Using Kinematic Constraints in Muscle Force Optimization Algorithms Lwer Limb Stiffness Estimatin during Running: The Effect f Using Kinematic Cnstraints in Muscle Frce Optimizatin Algrithms Rbert Brtlett 1, Enric Pagell 1, and Davide Pivesan 2 1 Intelligent Autnmus Systems

More information

You need to be able to define the following terms and answer basic questions about them:

You need to be able to define the following terms and answer basic questions about them: CS440/ECE448 Sectin Q Fall 2017 Midterm Review Yu need t be able t define the fllwing terms and answer basic questins abut them: Intr t AI, agents and envirnments Pssible definitins f AI, prs and cns f

More information

Five Whys How To Do It Better

Five Whys How To Do It Better Five Whys Definitin. As explained in the previus article, we define rt cause as simply the uncvering f hw the current prblem came int being. Fr a simple causal chain, it is the entire chain. Fr a cmplex

More information

Guide to Using the Rubric to Score the Klf4 PREBUILD Model for Science Olympiad National Competitions

Guide to Using the Rubric to Score the Klf4 PREBUILD Model for Science Olympiad National Competitions Guide t Using the Rubric t Scre the Klf4 PREBUILD Mdel fr Science Olympiad 2010-2011 Natinal Cmpetitins These instructins are t help the event supervisr and scring judges use the rubric develped by the

More information

CHEM Thermodynamics. Change in Gibbs Free Energy, G. Review. Gibbs Free Energy, G. Review

CHEM Thermodynamics. Change in Gibbs Free Energy, G. Review. Gibbs Free Energy, G. Review Review Accrding t the nd law f Thermdynamics, a prcess is spntaneus if S universe = S system + S surrundings > 0 Even thugh S system

More information

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD

DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD DESIGN OPTIMIZATION OF HIGH-LIFT CONFIGURATIONS USING A VISCOUS ADJOINT-BASED METHOD Sangh Kim Stanfrd University Juan J. Alns Stanfrd University Antny Jamesn Stanfrd University 40th AIAA Aerspace Sciences

More information

The standards are taught in the following sequence.

The standards are taught in the following sequence. B L U E V A L L E Y D I S T R I C T C U R R I C U L U M MATHEMATICS Third Grade In grade 3, instructinal time shuld fcus n fur critical areas: (1) develping understanding f multiplicatin and divisin and

More information

UN Committee of Experts on Environmental Accounting New York, June Peter Cosier Wentworth Group of Concerned Scientists.

UN Committee of Experts on Environmental Accounting New York, June Peter Cosier Wentworth Group of Concerned Scientists. UN Cmmittee f Experts n Envirnmental Accunting New Yrk, June 2011 Peter Csier Wentwrth Grup f Cncerned Scientists Speaking Ntes Peter Csier: Directr f the Wentwrth Grup Cncerned Scientists based in Sydney,

More information

Lesson 5. Introduction. Standards

Lesson 5. Introduction. Standards 11.2.1 Lessn 5 Intrductin In this lessn, students read and analyze paragraph 4 f Of Our Spiritual Strivings frm The Suls f Black Flk by W.E.B. Du Bis (frm The histry f the American Negr is the histry f

More information

Reinforcement Learning" CMPSCI 383 Nov 29, 2011!

Reinforcement Learning CMPSCI 383 Nov 29, 2011! Reinfrcement Learning" CMPSCI 383 Nv 29, 2011! 1 Tdayʼs lecture" Review f Chapter 17: Making Cmple Decisins! Sequential decisin prblems! The mtivatin and advantages f reinfrcement learning.! Passive learning!

More information

Submission to the Cross Community Working Group on Names Related Functions (CWG-IANA) on the Draft Transition Proposal

Submission to the Cross Community Working Group on Names Related Functions (CWG-IANA) on the Draft Transition Proposal Submissin t the Crss Cmmunity Wrking Grup n Names Related Functins (CWG-IANA) n the Draft Transitin Prpsal 22 December 2014 Intrductin This is InternetNZ s respnse t the public cnsultatin n a Draft Transitin

More information

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis Bicycle Generatr Dump Lad Cntrl Circuit: An Op Amp Cmparatr with Hysteresis Sustainable Technlgy Educatin Prject University f Waterl http://www.step.uwaterl.ca December 1, 2009 1 Summary This dcument describes

More information

Modelling of Clock Behaviour. Don Percival. Applied Physics Laboratory University of Washington Seattle, Washington, USA

Modelling of Clock Behaviour. Don Percival. Applied Physics Laboratory University of Washington Seattle, Washington, USA Mdelling f Clck Behaviur Dn Percival Applied Physics Labratry University f Washingtn Seattle, Washingtn, USA verheads and paper fr talk available at http://faculty.washingtn.edu/dbp/talks.html 1 Overview

More information

Subject description processes

Subject description processes Subject representatin 6.1.2. Subject descriptin prcesses Overview Fur majr prcesses r areas f practice fr representing subjects are classificatin, subject catalging, indexing, and abstracting. The prcesses

More information

BLAST / HIDDEN MARKOV MODELS

BLAST / HIDDEN MARKOV MODELS CS262 (Winter 2015) Lecture 5 (January 20) Scribe: Kat Gregry BLAST / HIDDEN MARKOV MODELS BLAST CONTINUED HEURISTIC LOCAL ALIGNMENT Use Cmmnly used t search vast bilgical databases (n the rder f terabases/tetrabases)

More information

Application of the Ultrasound Velocity Measuring Technique to Stirred Vessel Flows with Multi Element Stirrers

Application of the Ultrasound Velocity Measuring Technique to Stirred Vessel Flows with Multi Element Stirrers 15 1. ISUD 1st Internatinal Sympsium n Ultrasnic Dppler Methds fr Fluid Mechanics and Fluid Engineering September 9-1 I, 1996 Paul Scherer Institut, 5232 Villingen PSI, Switzerland Applicatin f the Ultrasund

More information

Document for ENES5 meeting

Document for ENES5 meeting HARMONISATION OF EXPOSURE SCENARIO SHORT TITLES Dcument fr ENES5 meeting Paper jintly prepared by ECHA Cefic DUCC ESCOM ES Shrt Titles Grup 13 Nvember 2013 OBJECTIVES FOR ENES5 The bjective f this dcument

More information