IN THE literature, significant research has been focused on

Size: px
Start display at page:

Download "IN THE literature, significant research has been focused on"

Transcription

1 552 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance Brian E. Stine, Duane S. Boning, Member, IEEE, James E. Chung, Member, IEEE, Dennis J. Ciplickas, and John K. Kibarian Abstract In this paper, we present a methodology for simulating the impact of within-die (die-level) polysilicon critical dimension (poly-cd) variation on circuit performance. The methodology is illustrated on a 0.25 m SRAM macrocell layout. For this example, the impact as measured through signal skew is found to be significant and strongly dependent on the input address of the SRAM cell. I. INTRODUCTION IN THE literature, significant research has been focused on understanding the impact of process variation on circuit performance [1] [12], but the majority of this research assumes process variation to be completely random and drawn from either independent or correlated normal distributions (i.e., Monte Carlo type simulations or design centering methodologies). This approach is adequate when assessing the impact of lot-tolot or wafer-to-wafer process variation on circuit performance since these types of variation can often be adequately modeled using Gaussian white noise. For pattern-dependent variation, however, this approach is not acceptable because it essentially assumes that the channel length of each transistor on a die can be described by the same underlying random distribution or perhaps with some crude degree of proximity effects included via a correlation structure. Previous studies have empirically observed a correlation between poly-cd variation and circuit performance [13] for small circuits (such as ring-oscillators), but predictive modeling of the impact of die-level variation has been difficult. In this paper, we present and illustrate a methodology for determining the impact of pattern-dependent polysilicon critical dimension (poly-cd) variation on circuit performance. After describing the methodology in Section II, the methodology is illustrated in Section III via a case study of a 64 8 SRAM Manuscript received December 28, 1997; revised February 24, This work was supported in part under ARPA Contracts DABT C-0088 and DAAH01-96-C-R904, AASERT Grant DAAHA04-95-I-0459, and an Intel Foundation Fellowship. B. E. Stine was with Microsystems Technology Laboratories, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA USA. He is now with PDF Solutions, Inc., San Jose, CA USA. D. S. Boning and J. E. Chung are with the Microsystems Technology Laboratories, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA USA ( D. J. Ciplickas and J. K. Kibarian are with PDF Solutions, Inc., San Jose, CA USA. Publisher Item Identifier S (98) Fig. 1. Flow used to simulate the impact of poly-cd variation on circuit performance in this paper. Fig. 2. Hopkins model used in aerial imaging simulators. Figure and notation derived from [16]. macrocell. Finally, some concluding remarks are offered in Section IV. Poly-CD variation is especially important because it translates directly into MOS transistor channel length variation and resulting variations in the drive current and switching characteristics. Current lithography and etch technology can typically achieve wafer-scale line width uniformity of approximately 5 10% (measurements of the same structure within each chip across the wafer). Design rules typically assume that the variation within any one chip will be smaller than this value. However, measurements of supposedly identical structures within the same die reveal variations on the order of 15 20% or more [14], [15]. Clearly, additional physical effects are coming into play at this scale and within-die poly- CD nonuniformity contributes significant variance to the total poly-cd variation budget /98$ IEEE

2 STINE et al.: PATTERN-DEPENDENT POLY-CD VARIATION 553 Fig. 5. Estimated poly-cd variation across all coordinates in the cell. Aerial imaging simulation was used to estimate the poly-cd variation. (a) Fig. 3. Ideal as-drawn features from an SRAM cell and corresponding aerial imaged features. (b) Fig. 6. (a) Drain current versus drain voltage for V gs at the supply rail. (b) Saturation or drive current versus channel langth for the NMOS and PMOS devices. Fig. 4. A SRAM macrocell. Layout is approximately m. The problem of determining the impact of variation on circuit performance is especially vexing because of the intense coupling between design and manufacturing. A portion of the variance that a design sees is driven by the process (mainly lot-to-lot, wafer-to-wafer, and within-wafer), but a large part of the variance, especially for critical parameters such as the polysilicon critical dimension, is dependent on the design itself as represented by the layout. In this way, the question what is the impact of spatial or pattern-dependent variation on circuit performance? cannot be answered in the general, but must be dealt with in the specific as the answer is necessarily different for each design. As such, this paper offers a methodology for determining the impact of patterndependent poly-cd variation on circuit performance which can be used on each layout of interest or on several layouts to gain insight rather than presents a conclusive study or evaluation of

3 554 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Fig. 7. Simulation of the write-access behavior for the SRAM macrocell with poly-cd variation enabled. Significant skew is seen across all data lines for this particular address. the impact of pattern-dependent poly-cd variation on circuit performance. II. SIMULATION METHODOLOGY We propose the methodology shown in Fig. 1 for exploring the impact of pattern-dependent poly-cd variation on circuit performance. We use aerial imaging to perturb or transform all of the polygons in the original or as-drawn layout into the aerial imaged or as-manufactured layout resulting in a new poly layer. In this way, the aerial image simulator is modeling the manufacturing process. After simulating the manufacturing process, a circuit net-list is extracted from the as-manufactured layout (with the imaged poly layer used as the gate mask) using a traditional layout-parasitic engine. Finally, a circuit simulator is used to evaluate the performance of the layout. By looking at the performance of the layout with and without aerial imaging over different input conditions, a determination can be made as to the impact of poly-cd variation on circuit performance. Many other effects such as lens-aberration, stepper leveling/focusing errors, and etch loading contribute to poly-cd variation. Since these effects are more macroscopic, the relative utility of the methodology presented here is limited to small to medium size layouts; however, this restriction can be removed if accurate and calibrated models exist for these effects as a function of extractable or tangible layout parameters. Optical proximity components are estimated using aerial imaging [16], [17]. Aerial imaging has been in practice for approximately ten years and most simulators use the Hopkins model approach [17]. In this approach (see Fig. 2), the intensity at the target can be expressed as a nonlinear function of the illumination lens and pupil,, the projection optics, and the mask being imaged. The numeric aperture of the lens (NA), the illumination wavelength ( ), and the coherence of the imaging system ( ) are the key input parameters which shape the Hopkins integral. Using these parameters and several assumptions of the image/projection system [16] allow the Hopkins integral to be expressed as a two-dimensional convolution integral which allows the expression to be evaluated using numerically efficient fast Fourier transforms. By taking equi-illumination contours at the target, an estimate of the optical proximity component of lithography variance is enabled. A sample result is shown in Fig. 3. The ideal features are shown as well as the results from aerially imaging for a sample SRAM cell. III. SIMULATION EXAMPLE In this section, the methodology described in Section II is applied to a m64 8 SRAM macrocell. In current technologies, SRAM finds application not only as a standalone part, but also as an embedded memory element in microprocessors and complex logic and as a process qualification and development vehicle [18]. Also, SRAM layout typically includes a mix of periodic structures (the cell array) and aperiodic logic components (e.g., the decoders and input-output buffers). For these reasons, SRAM makes a suitable case study for exploring the impact of variation on circuit performance. The SRAM macrocell layout (see Fig. 4) is approximately 180 m 140 m in size and contains approximately 4000 transistors. The layout was originally designed for 3- m design rules but was scaled down to m design rules using simple scaling. Only aerial imaging was used to simulate the within-die poly-cd variation. This is mainly due to the small size of

4 STINE et al.: PATTERN-DEPENDENT POLY-CD VARIATION 555 Fig. 8. Delay versus input address for the SRAM cell. Maximum skew across all output lines depends on the address chosen. the layout compared to the length scales of other sources of variation (e.g., stepper leveling errors, lens aberration). Fig. 5 shows a surface plot of poly-cd, the deviation of gate length from nominal, versus location. This figure was generated by extracting the channel length and position of each transistor from the netlist and interpolating on to a surface plot. The mean channel length is approximately 0.25 m and the largest excursions are almost 10%. In this way, we are assuming that the stepper has been perfectly targeted (i.e., the mean channel lengths equals the specified target). Note the presence of periodic components in addition to larger peaks and valleys. Interconnect variation modeling was not done due to the small size of the layout and was only crudely modeled using an identical load capacitor of 10 ff at each output bit to simulate external wiring. For circuit simulation, a 0.25 m SPICE device model was created based on SIA roadmap specifications [19], [20] and typical values reported in the literature. The sensitivity of, the measured drain current when both the gate and drain are held at the supply rail, is shown in Fig. 6. Although the values are slightly higher than normally expected, the sensitivity to channel length variation is similar to results published elsewhere (e.g., [21]). Fig. 7 shows the result of simulating the aerially imaged layout with the above device models. The voltage at each output line [Fig. 7(c)] is shown for the address lines (ADDR[0] ADDR[5]) set to all zeros [Fig. 7(a)] and for strobing the input data lines (In[0] In[7]) from all ones to all zeros [Fig. 7(b)]. Also, the write strobe is held high resulting in a display of the write access behavior. In this case study, we are interested in the time required to write a data word to an address location (the write access time). In particular, the maximum skew for all the data lines is of key interest since this is a measure of the susceptibility of this circuit to poly-cd variation. Since interconnect has not been included and each input bit passes through the same number of gates, the amount of skew for the as-drawn layout is near zero, but as Fig. 7 shows, there is significant skew for the write access time. The

5 556 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 read access time does not show significant skew behavior and is significantly shorter than any write access time; thus, it is not discussed. For the case of fully bidirectional applications (i.e., in cases where the proportion of writing data to reading data is relatively similar) such as in microprocessors, the slowest of the read access times or write-access times dictates the overall performance of the part. The skew behavior shown in Fig. 7(c) is for one particular address location. As Fig. 8 shows, the maximum amount of write-access time skew is heavily dependent on the input address vector. The oscillating behavior is especially apparent on address line Out[5]. The 64 8 layout is organized into two blocks of 32 8 with each block having separate decoder circuitry and hence different layout environments. The oscillating behavior in Out[5] is most likely caused by differences in the channel lengths in each 32 8 block s decoding circuitry. In this way, the results of simulating the impact of spatial variation on circuit performance are heavily dependent on the input test vectors used during circuit simulation. If all possible input vectors cannot be simulated, then careful planning and examination of test vectors is needed. Normally, test vectors are selected to stimulate all or most of the functional blocks in the layout. Since functional blocks are often repeated as many instances in a layout, stimulating just one instance of each functional block is not sufficient since instances are likely to have different layout environments and hence difference degrees of poly-cd variation. For this reason, the choice of test vectors should always include the stimulation of all instances of a particular functional block. If the number of instances of a functional block is very large as well, then the number of instances stimulated should be subseted to include only those which are furthest apart in the layout. IV. CONCLUSION In this paper, we have demonstrated a methodology for determining the impact of pattern-dependent poly-cd variation on circuit performance. For a particular SRAM example, the results indicate that pattern-dependent poly-cd variation results in an approximately 10% skew in the write access time. The simulated skew, however, is strongly dependent on the input conditions and assumptions. Further work is needed to include interconnect variation and more extensive printing (i.e., lithography and etch) models. Further studies are also underway using this methodology to examine the sensitivity of functionally identical logic blocks to different design styles (such as dynamic versus static logic). REFERENCES [1] P. Balaban and J. Golembeski, Statistical analysis for practical circuit design, IEEE Trans. Circuits Syst. vol. CAS-22, pp , Feb [2] P. Rankin and R. Soin, Efficient Monte Carlo yield prediction using control variates, in Proc. IEEE Int. Symp. Circuits Syst., Apr. 1981, vol. 1, pp [3] S. W. Director and G. Hatchel, The simplicial approximation approach to design centering, IEEE Trans. Circuits Syst., vol. CAS-24, no. 7, pp , July [4] J. Bandler and H. Abdel-Malek, Optimal centering, tolerancing, and yield determination via updated approximations and cuts, IEEE Trans. Circuit Syst., vol. CAS-25, no. 10, pp , Oct [5] L. Vidigal and S. Director, A design centering algorithm for nonconvex regions of acceptability, IEEE Trans. Computer-Aided Design, vol. CAD-1, pp , Jan [6] K. Tahim and R. Spence, A radial exploration approach to manufacturing yield estimation and design centering, IEEE Trans. Circuits Syst., vol. CAS-26, pp , Sept [7] K. Singhal and J. Pinel, Statistical design centering and tolerancing using parametric sampling, IEEE Trans. Circuits Syst., vol. CAS-28, no. 7, pp , July [8] K. Antreich and R. Koblitz, Design centering by yield prediction, IEEE Trans. Circuits Syst., vol. CAS-29, no. 2, pp , Feb [9] W. Maly and A. Strojwas, Statistical simulation of the IC manufacturing process, IEEE Trans. Computer-Aided Design, vol. CAD-1, pp , July [10] D. Hocevar, M. Lightner, and T. Trick, An extrapolated yield approximation technique for use in yield maximization, IEEE Trans. Computer-Aided Design, vol. CAD-3, pp , Oct [11] M. Styblinski and L. Opalski, Algorithms and software tools for IC yield optimization based on fundamental fabrication parameters, IEEE Trans. Computer-Aided Design, vol. CAD-5, pp , Jan [12] W. Maly, A. Strojwas, and S. Director, VLSI yield prediction and estimation: A unified framework, IEEE Trans. Computer-Aided Design, vol. CAD-5, pp , Jan [13] M. Hatzilambrou, A. R. Neureuther, and C. J. Spanos, Ring oscillator sensitivity to spatial process variation, in Proc. 1st Int. Workshop Statistical Metrology, June [14] B. Stine, D. Boning, J. Chung, D. Bell, and E. Equi, Inter- and intra-die polysilicon critical dimension variation, in Microelectronic Manufacturing Yield, Reliability, and Failure Analysis II, SPIE 1996 Symp. Microelectronic Manufacturing, Oct. 1996, Austin, TX. [15] D. Doherty Fitzgerald, Analysis of polysilicon critical dimension variation for submicron CMOS processes, M.S. thesis, Dept. Elect. Eng. Comp. Sci., Mass. Inst. Technol., Cambridge, June [16] Y. Pati, A. Ghazanfarian, and R. Pease, Exploiting structure in fast aerial image computation for integrated circuit patterns, IEEE Trans. Semiconduct. Manufact., vol. 10, pp , Feb [17] D. Cole, E. Barouch, U. Hollerbach, and S. Orszag, Derivation and simulation of higher numerical aperture scalar aerial images, Jpn. J. Appl. Phys., vol. 31, p. 4110, [18] M. Bohr and Y. El-Mansy, Technology for advanced high-performance microprocessors, IEEE Trans. Electron Devices, vol. 45, pp , Mar [19] Semiconductor Industry Association, The National Technology Roadmap for Semiconductors, San Jose, CA, [20] K. Rahmat, O. Nakagawa, S.-Y. Oh, J. Moll, and W. Lynch, A scaling scheme for interconnect in deep-submicron processes, in IEDM Tech. Dig., Dec [21] G. Thakar, V. McNeil, S. Madan, B. Riemenschneider, D. Rogers, J. McKee, R. Eklund, and R. Chapman, A manufacturable high performance quarter micron CMOS technology using I-line lithography and gate linewidth reduction etch process, in Proc Symp. VLSI Technology, June 1996, pp Brian E. Stine, for a photograph and biography, see p. 139 of the February 1998 issue of this TRANSACTIONS. Duane S. Boning (M 91), for a photograph and biography, see p. 139 of the February 1998 issue of this TRANSACTIONS. James E. Chung (S 87 M 90), for a photograph and biography, see p. 140 of the February 1998 issue of this TRANSACTIONS. Dennis J. Ciplickas, photograph and biography not available at the time of publication. John K. Kibarian, photograph and biography not available at the time of publication.

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 487 Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks Kevin T. Tang and Eby G. Friedman,

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection

IN DEEP-SUBMICRON integrated circuits, multilevel interconnection IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 615 An Extraction Method to Determine Interconnect Parasitic Parameters Chuan-Jane Chao, Shyh-Chyi Wong, Member, IEEE, Ming-Jer

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

AE74 VLSI DESIGN JUN 2015

AE74 VLSI DESIGN JUN 2015 Q.2 a. Write down the different levels of integration of IC industry. (4) b. With neat sketch explain briefly PMOS & NMOS enhancement mode transistor. N-MOS enhancement mode transistor:- This transistor

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Static Electromigration Analysis for On-Chip Signal Interconnects

Static Electromigration Analysis for On-Chip Signal Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 39 Static Electromigration Analysis for On-Chip Signal Interconnects David T. Blaauw, Member,

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model - Spring 003 Lecture 4 Design Rules CMOS Inverter MOS Transistor Model Today s lecture Design Rules The CMOS inverter at a glance An MOS transistor model for manual analysis Important! Labs start next

More information

THE parametric performance of integrated circuits depends

THE parametric performance of integrated circuits depends IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 4, NOVEMBER 1997 445 Statistically Based Parametric Yield Prediction for Integrated Circuits David S. Gibson, Ravi Poddar, Gary S. May, Senior

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

IN the past, circuit delay has been due mostly to transistors.

IN the past, circuit delay has been due mostly to transistors. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 3, MARCH 1998 449 Investigation of Interconnect Capacitance Characterization Using Charge-Based Capacitance Measurement (CBCM) Technique and Three-Dimensional

More information

DC and Transient Responses (i.e. delay) (some comments on power too!)

DC and Transient Responses (i.e. delay) (some comments on power too!) DC and Transient Responses (i.e. delay) (some comments on power too!) Michael Niemier (Some slides based on lecture notes by David Harris) 1 Lecture 02 - CMOS Transistor Theory & the Effects of Scaling

More information

Model-Based I DDQ Pass/Fail Limit Setting

Model-Based I DDQ Pass/Fail Limit Setting Model-Based I DDQ Pass/Fail Limit Setting T. Aruna Unni Xilinx Corp. 21 Logic Drive, San Jose CA 955 Ph: (48) 879-5366, Fax: (48) 377-3259 Email: aruna@xilinx.com Abstract This paper describes several

More information

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance

CMOS INVERTER. Last Lecture. Metrics for qualifying digital circuits. »Cost» Reliability» Speed (delay)»performance CMOS INVERTER Last Lecture Metrics for qualifying digital circuits»cost» Reliability» Speed (delay)»performance 1 Today s lecture The CMOS inverter at a glance An MOS transistor model for manual analysis

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

CMOS Inverter (static view)

CMOS Inverter (static view) Review: Design Abstraction Levels SYSTEM CMOS Inverter (static view) + MODULE GATE [Adapted from Chapter 5. 5.3 CIRCUIT of G DEVICE Rabaey s Digital Integrated Circuits,, J. Rabaey et al.] S D Review:

More information

New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design

New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 23, NO. 2, MAY 2000 303 New Simultaneous Switching Noise Analysis and Modeling for High-Speed and High-Density CMOS IC Package Design Yungseon Eo, Member,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view) CMPEN 411 VLSI Digital Circuits Lecture 04: CMOS Inverter (static view) Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view)

ENGR890 Digital VLSI Design Fall Lecture 4: CMOS Inverter (static view) ENGR89 Digital VLSI Design Fall 5 Lecture 4: CMOS Inverter (static view) [Adapted from Chapter 5 of Digital Integrated Circuits, 3, J. Rabaey et al.] [Also borrowed from Vijay Narayanan and Mary Jane Irwin]

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s

Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s Eric Felt Amit Narayan Alberto Sangiovanni-Vincentelli Department of Electrical Engineering and Computer Sciences University of

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits

Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS s and Circuits Palkesh Jain Texas Instruments, Banglore, India palkesh@ti.com D. V. Kumar, J. M. Vasi, and M. B. Patil Department

More information

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density The MIT Faculty has made this article openly available. Please share how this

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B) 1 Introduction to Transistor-Level Logic Circuits 1 By Prawat Nagvajara At the transistor level of logic circuits, transistors operate as switches with the logic variables controlling the open or closed

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies Zhangcai Huang, Hong Yu, Atsushi Kurokawa and Yasuaki Inoue Graduate School of Information, Production and Systems, Waseda University,

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Digital Integrated Circuits

Digital Integrated Circuits Chapter 6 The CMOS Inverter 1 Contents Introduction (MOST models) 0, 1 st, 2 nd order The CMOS inverter : The static behavior: o DC transfer characteristics, o Short-circuit current The CMOS inverter :

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

An Autonomous Nonvolatile Memory Latch

An Autonomous Nonvolatile Memory Latch Radiant Technologies, Inc. 2835D Pan American Freeway NE Albuquerque, NM 87107 Tel: 505-842-8007 Fax: 505-842-0366 e-mail: radiant@ferrodevices.com www.ferrodevices.com An Autonomous Nonvolatile Memory

More information

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) SYLLABUS UNIT II VLSI CIRCUIT DESIGN PROCESSES: VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 m CMOS Design

More information

Static Electromigration Analysis for Signal Interconnects

Static Electromigration Analysis for Signal Interconnects Static Electromigration Analysis for Signal Interconnects Chanhee Oh, David Blaauw*, Murat Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta** Motorola, Inc, Austin TX, *University of Michigan,

More information

3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator

3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator 1434 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 12, DECEMBER 2002 3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator Ting-Yuan Wang and

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell A. Sarafianos, C. Roscian, Jean-Max Dutertre, M. Lisart, A. Tria To cite this version: A. Sarafianos, C.

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Inverter Revised from Digital Integrated Circuits, Jan M. Rabaey el, 2003 Propagation Delay CMOS

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

ECE321 Electronics I

ECE321 Electronics I ECE31 Electronics Lecture 1: CMOS nverter: Noise Margin & Delay Model Payman Zarkesh-Ha Office: ECE Bldg. 30B Office hours: Tuesday :00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 CMOS

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

From Blind Certainty to Informed Uncertainty

From Blind Certainty to Informed Uncertainty From Blind Certainty to Informed Uncertainty Kurt Keutzer and Michael Orshansky University of California, Berkeley All good things were at one time bad things; every original sin has developed into an

More information

SILICON-ON-INSULATOR (SOI) technology has been regarded

SILICON-ON-INSULATOR (SOI) technology has been regarded IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 10, OCTOBER 2006 2559 Analysis of the Gate Source/Drain Capacitance Behavior of a Narrow-Channel FD SOI NMOS Device Considering the 3-D Fringing Capacitances

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

ADVANCED processing techniques that make use of

ADVANCED processing techniques that make use of IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 9, SEPTEMBER 1997 1393 Simulating Process-Induced Gate Oxide Damage in Circuits Robert Tu, Joseph C. King, Hyungcheol Shin, Member, IEEE, and Chenming

More information

IN RECENT years, chemical-mechanical polishing (CMP)

IN RECENT years, chemical-mechanical polishing (CMP) IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 1, FEBRUARY 1998 129 Rapid Characterization and Modeling of Pattern-Dependent Variation in Chemical-Mechanical Polishing Brian E. Stine, Dennis

More information

Topic 4. The CMOS Inverter

Topic 4. The CMOS Inverter Topic 4 The CMOS Inverter Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Topic 4-1 Noise in Digital Integrated

More information

Role of Computer Experiment

Role of Computer Experiment Role of Computer Experiment Experimental World Computer Experiment Theoretical World Accumulation of factual information Checks and stimuli Ordering of factual information into logically coherent patterns

More information

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Devices July 30, 2002 Goal of this chapter Present intuitive understanding of device operation Introduction

More information

Lecture 4: DC & Transient Response

Lecture 4: DC & Transient Response Introduction to CMOS VLSI Design Lecture 4: DC & Transient Response David Harris Harvey Mudd College Spring 004 Outline DC Response Logic Levels and Noise Margins Transient Response Delay Estimation Slide

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES 598 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 16, NO 5, MAY 2008 design can be easily expanded to a hierarchical 64-bit adder such that the result will be attained in four cycles

More information

Lecture 7 Circuit Delay, Area and Power

Lecture 7 Circuit Delay, Area and Power Lecture 7 Circuit Delay, Area and Power lecture notes from S. Mitra Intro VLSI System course (EE271) Introduction to VLSI Systems 1 Circuits and Delay Introduction to VLSI Systems 2 Power, Delay and Area:

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor Future Trends in Microelectronics Impact on Detector Readout Paul O Connor Outline CMOS Technology Scaling Analog Circuits Radiation Effects Cost Detector Development Symposium Paul O'Connor BNL April

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

EE382M-14 CMOS Analog Integrated Circuit Design

EE382M-14 CMOS Analog Integrated Circuit Design EE382M-14 CMOS Analog Integrated Circuit Design Lecture 3, MOS Capacitances, Passive Components, and Layout of Analog Integrated Circuits MOS Capacitances Type of MOS transistor capacitors Depletion capacitance

More information