Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films

Size: px
Start display at page:

Download "Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films"

Transcription

1 Ž. Applied Surface Science Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films H. Cho a,), K.B. Jung a, D.C. Hays a, Y.B. Hahn a,1, E.S. Lambers a, T. Feng a, Y.D. Park a, J.R. Childress b, S.J. Pearton a a Department of Materials Science and Engineering, UniÕersity of Florida, 132 Rhines Hall, PO Box , GainesÕille, FL 32611, USA b IBM Almaden Research Center, San Jose, CA 95120, USA Received 28 August 1998; accepted 1 October 1998 Abstract IClrAr and IBrrAr plasmas operated in an inductively coupled plasma Ž ICP. source have been examined for dry etching of Ni, Fe, NiFe and NiFeCo. The removal of the Fe etch products limits the etch rates under most conditions, but rates of y1 ; 500 A min are obtained for both NiFe and NiFeCo in both chemistries. The etched surfaces are smooth Žatomic force microscopy root-mean-square roughness - 1 nm. over a broad range of plasma conditions, with small residual halogen concentrations Ž F2 at.%.. q 1999 Elsevier Science B.V. All rights reserved. Keywords: Interhalogen; Dry etch; Thin film 1. Introduction The dry etching of magnetic multilayer structures represents a challenge because of the relative inw1 8 x. For this reason, volatility of the etch products most of the patterning for magnetic sensors, nonvolatile memory elements, and readrwrite heads are performed using ion beam milling to physically sputw2 7 x. High ion energies Ž ;1 kv. during this process have been found to lower the ter the material coercivity of magnetic elements by up to a factor of eight, probably due to creation of magnetic dead- ) Corresponding author. Fax: q Present address. Department of Chemical Engineering and Technology, Chonbuk National University, Chonju , South Korea. layers on the exposed sidewalls wx 9. Etch processes with a chemical component, in addition to purely physical sputtering, should have a number of advantages, including higher etch rates, better selectivity to mask materials, lower ion energies and reduced redew10 x. One method of position on feature sidewalls enhancing the etch product volatility in plasma etching is to heat the sample during the process Žgener- ally C would be required. wx 1, but in giant magneto-resistive Ž GMR. multilayers, the component layers may only be A thick and there is only a very limited thermal budget available before interdifwx 2. fusion occurs Another method for removing the etch products is by providing a high ion flux incident simultaneously with the reactive neutral flux. This provides impetus for ion-assisted desorption of the etch products. Ex r99r$ - see front matter q 1999 Elsevier Science B.V. All rights reserved. Ž. PII: S

2 216 ( ) H. Cho et al.rapplied Surface Science perimentally, we have found that ion-neutral ratios G0.02 are necessary for achieving high etch rates of NiFe and NiFeCo in Cl 2rAr plasma chemistries w11 13 x. These flux ratios are only available in high density plasma sources, such as inductively coupled plasmas Ž ICP. or electron cyclotron resonance Ž ECR. microwave plasmas. In conventional reactive ion etch tools, the ion-neutral flux ratio is typically in the 10 y5 10 y6 range, and the absence of a strong ionassisted desorption contribution leads to the build-up of a selvedge or reaction layer of chlorinated etch products on the sample surface. While the Cl rar plasma chemistry operated un- 2 der high density conditions produces effective etching of magnetic materials, there are other mixtures of interest. In particular, the interhalogens, ICl and IBr, have been found to dissociate readily in high density Fig. 2. Etch rates of Ni, Fe, NiFe and NiFeCo in 250 W rf chuck power, 5 mtorr discharges of 2 IClr13 Ar Ž top. or 2 IBrr13 Ar Ž bottom., as a function of source power. plasma sources, producing high concentrations of reactive species w14 x. In this paper, we report a parametric investigation of the effect of plasma conditions on etch rates, surface morphology and surface composition of Ni, Fe, Ni 0.8Fe0.2and Ni 0.8Fe0.13- Co in ICP discharges of IClrAr and IBrrAr Experimental Fig. 1. Etch rates of Ni, Fe, NiFe and NiFeCo in 750 W source power, 250 W rf chuck power, 5 mtorr discharges of IClrAr Ž top. or IBrrAr Ž bottom., as a function of plasma composition. Direct current Ž DC. magnetron sputtering was used to deposit A thick layers of each of the materials on Si substrates. For etch rate experiment, samples were masked with Apiezon wax, which was removed after the plasma exposure and the etch step measured by profilometry. Etching was performed in a Plasma Therm 790 system, involving an ICP source with a three-turn coil antenna operat-

3 ( ) H. Cho et al.rapplied Surface Science Results and discussion The influence of plasma composition on Ni, Fe, NiFe and NiFeCo etch rates in ICP IClrAr Ž top. and IBrrAr Ž bottom. discharges at fixed source power Ž 750 W., rf chuck power Ž 250 W. and pressure Ž5 mtorr. is shown in Fig. 1. For the IClrAr plasma chemistry, the rates for NiFe, NiFeCo and Ni initially increase as ICl is added, but then decrease beyond particular discharge compositions. This is consistent with a mechanism in which the adsorbed reactive neutral flux must be balanced with the ionw11 13 x. Beyond the optimum discharge compositions, assisted removal of the resultant etch products we believe there is blocking of the surface to ion bombardment by the high chlorine and iodine concentrations. The rate-limiting step appears to be re- Fig. 3. Etch rates of Ni, Fe, NiFe and NiFeCo in 750 W source power, 5 mtorr discharges of 2 IClr13 Ar Ž top. or 2 IBrr13 Ar Ž bottom., as a function of rf chuck power. ing at 2 MHz and powers up to 1000 W. The samples are thermally bonded to a radio frequency Ž rf. -powered Ž MHz, W., He backsidecooled chuck. Process pressure was varied from 5 to 20 mtorr, with a gas load of 15 standard cubic centimeters per minute Ž sccm.. ICl and IBr are crystalline solids with melting temperatures of 27 and 458C, respectively, and were contained in a stainless steel vacuum vessel heated to ;508C to enhance the vapor pressure w14 x. The resulting gases were injected directly into the ICP source through electronic mass controllers. Electronic grade Ar was always added to provide a strong physical component to the etching. The etched surfaces were examined by atomic force microscopy Ž AFM. and Auger electron spectroscopy Ž AES. to look at morphology and near-surface composition, respectively. Ž. Ž. Fig. 4. Etch rates top and etch yields bottom of Ni, Fe, NiFe and NiFeCo in 2 IClr13 Ar, 750 W source power, 250 W rf chuck power discharges, as a function of process pressure.

4 218 ( ) H. Cho et al.rapplied Surface Science moval of the FeCl x and FeI x etch products, based on the low etch rate of the Fe layers. Indeed, for very high ICl percentages, there is net deposition on the Fe due to the inability to effectively remove the chloride etch products. Note also that as the ICl percentage in the discharge increases, the chuck Fig. 5. The AFM scans of NiFe after etching in 750 W source power, 250 W rf chuck power, 5 mtorr discharges, as a function of plasma composition.

5 ( ) H. Cho et al.rapplied Surface Science self-bias also increases. This indicates that the posiw15 x, as tive ion density in the plasma is decreasing expected since both chlorine and iodine are electronegative gases. The results for IBrrAr discharges are shown at the bottom of Fig. 1. The etch rate behavior for Ni is similar to that with IClrAr, but the Fe etches much more rapidly in IBrrAr discharges, especially at Fig. 6. The AFM scans of NiFeCo after etching in 750 W source power, 250 W rf chuck power, 5 mtorr discharges, as a function of plasma composition.

6 220 ( ) H. Cho et al.rapplied Surface Science high halogen concentrations. This is due to the higher volatility of the FeBrx etch products relative to FeCl x w12 x, and is not a strong function of bias. This effect leads to a small increase in NiFe and NiFeCo etch rate at IBr percentages beyond ; 60%. The effect of ICP source power on the material etch rates is shown for IClrAr Ž top. and IBrrAr Ž bottom. in Fig. 2. For the IClrAr, the etch rates for Ni, NiFeCo and NiFe increase monotonically with increasing ion flux, even though the self-bias decreases because of the larger conductivity of the plasma. For Fe, there is essentially no etching until source powers ) 600 W, which illustrates the point that balancing the ion and reactive neutral fluxes can lead to a positive etch rate w11 x. The behavior of NiFe and NiFeCo in IBrrAr discharges is basically similar to that with IClrAr. The Ni and Fe etch rates go in different directions at high flux, due to the ion energy falling below that needed to efficiently desorb NiBrx and NiI x. The low rates may also be attributed to the chemical kinetics of the reaction. Under high flux conditions, the reactive species may sputter off the surface prior to reaction. The dependence of material etch rates on rf chuck power is shown in Fig. 3 Ž top. for 2 IClr13 Ar and Fig. 3 Ž bottom. for 2 IBrr13 Ar discharges at fixed source power Ž 750 W. and pressure Ž 5 mtorr.. For both chemistries, the etch rates Ž except those for Ni. are basically linearly dependent on chuck power, indicative of a desorption-limited process. For Ni in both chemistries and Fe in IBrrAr, the rates initially increase as the rf chuck power Žand hence DC self-bias is increased., but then decrease beyond particular maxima. This is often observed in highdensity plasma etching of materials, and is usually ascribed to desorption of the adsorbed chlorine neutrals before they can react with the surface of the metal w10 x. The reaction rate is presumably different on the alloys, where this trend is not observed up to our maximum of chuck power. Fig. 4 shows the pressure dependence of material etch rates in 2 IClr13 Ar discharges Ž750 W source power, 250 W rf chuck power.. We were not able to produce stable IBrrAr discharges at pressures above 5 mtorr. Even though DC self-bias increases with pressure, the etch rates of all of the materials decrease with increasing pressure. We suspect that the ionrneutral ratio falls below that necessary for effective balance of the product formation and desorption. Once again, the rate-limiting step is removal of the Fe. The etch yields and ion fluxes calculated from the etch rate and DC self-bias on the chuck are shown at the bottom of the figure w16 x. The low etch yields show why high density plasma conditions are needed to produce practical etch rates for the magnetic materials. The surfaces of the NiFe and NiFeCo were smooth over a broad range of plasma conditions. Fig. 5 shows AFM scans from NiFe samples after etching of ;2000 A of material in IBrrAr discharges Ž750 Fig. 7. The AES surface scans of NiFe after etching in either IClrAr Ž top. or IBrrAr Ž center and bottom. discharges Ž750 W source power, 250 W rf chuck power, 5 mtorr., as a function of plasma composition.

7 ( ) H. Cho et al.rapplied Surface Science W source power, 250 W rf chuck power, 5 mtorr. at different gas compositions. The as-grown rootmean-square Ž RMS. roughness is ; 0.55 nm. At low IBr compositions, the surface is significantly rougher Ž 1.8 nm RMS., but as the chemical component of the etching increases, the surfaces are as good or slightly better than the control value. A similar trend was observed with NiFeCo layers, as shown in Fig. 6. The main difference is that even for the low IBr concentration, the RMS roughness is still as good as the control value. These data show that there is a wide process window for maintaining high quality surfaces with the interhalogen plasma chemistries. The AES data showed that the samples retained their initial stoichiometry under these conditions. The surfaces were also relatively clean after etching. Fig. 7 shows AES surface scans of NiFe after either IClrAr Ž top. or IBrrAr etching Žcenter and bottom. at different plasma compositions. We observe adventitious carbon and a native oxide originating from exposure to ambient during transfer from etch chamber to analysis chamber. There is only a slightly amount of residual chlorine detected on the ICl etched material Ž F 1 at.%., which is consistent with the mechanism involving efficient desorption of the etch products by the attendant ion flux. There was no Br Žmain Auger transition at 1396 ev. detected on any of the samples, while any I signal would be swamped by that due to oxygen Ž iodine transition at 511 ev.. Similar data were obtained for etched NiFeCo samples. Once again, the surfaces were relatively clean, with residual bromine concentrations below the detection limit of AES w11 13 x. We have previously reported that use of in-situ H 2 plasma cleaning is effective in volatilizing halogen residues, producw13 x. More ing clean surfaces on the etched field work needs to be done to establish the chemical state of the sidewalls of etched features, since this is what will determine the extent of long-term corrosion on patterned magnetic multilayers. 4. Summary and conclusions The interhalogen compounds, ICl and IBr, are effective dry etchants for Ni, Fe, NiFe and NiFeCo under high ion density conditions. The maximum etch rates are similar to those we have achieved with pure Cl 2 under the same conditions in the same reactor, but the surfaces are smoother over a broader range of conditions than with Cl Ž 2 which typically produced RMS values a factor of 2 3 higher.. This appears to be related to the lower amount of residual halogen on the etched surfaces Žour past results with Cl typically show 1 3 at.% chlorine residues. 2. The etch rates are strongly dependent on plasma composition, source power, rf chuck power and pressure. All of these trends with plasma parameters are consistent with the etching being limited by the removal of the halogenated reaction products, and the need to balance the formation and removal of these species. Acknowledgements The work at UF is partially supported by a DOD MURI monitored by AFOSR Ž H.C. DeLong., contract F , and by ONR contract N C-2114 through the Honeywell MRAM program. The work of H.C. is partially supported by KOSEF. YBH gratefully acknowledges the support of Korea Research Foundation for Faculty Research Abroad. References wx 1 K. Kinoshita, K. Yamada, H. Matutera, IEEE Trans. Magn. 27 Ž wx 2 G.A. Prinz, in: B. Heinrich, J.A.C. Bland Ž Eds.., Ultra-Thin Magnetic Structures, Vol. II, Springer, Berlin Ž wx 3 M.J. Vasile, C.J. Mogab, J. Vac. Sci. Technol. A 4 Ž wx 4 M. Balooch, D.S. Fischl, D.R. Olander, W.J. Siekhaus, J. Electrochem. Soc. 135 Ž wx 5 D.W. Hess, Plasma Chem. Plasma Proc. 2 Ž wx 6 D.W. Danner, M. Dalvie, D.W. Hess, J. Electrochem. Soc. 134 Ž wx 7 F.C.M.J. van Delft, J. Magn. Mag. Mater Ž wx 8 B. Khamsehpour, C.D.W. Wilkinson, J.N. Chapman, Appl. Phys. Lett. 67 Ž wx 9 W. Vavra, private communication. w10x R.J. Shul, M.C. Lovejoy, D.L. Hetherington, D.J. Rieger, J.F. Klem, M.R. Melloch, J. Vac. Sci. Technol. B 13 Ž

8 222 ( ) H. Cho et al.rapplied Surface Science w11x K.B. Jung, E.S. Lambers, J.R. Childress, S.J. Pearton, M. Jenson, A.T. Hurst Jr., J. Vac. Sci. Technol. A 16 Ž w12x K.B. Jung, J. Hong, H. Cho, J.R. Childress, S.J. Pearton, M. Jenson, A.T. Hurst Jr., J. Electron. Mater. 27 Ž w13x K.B. Jung, E.S. Lambers, J.R. Childress, S.J. Pearton, M. Jenson, A.T. Hurst Jr., Appl. Phys. Lett. 71 Ž w14x J.W. Lee, J. Hong, E.S. Lambers, S.J. Pearton, J. Vac. Sci. Technol. B 15 Ž w15x O.A. Popov Ž Ed.., High Density Plasma Sources, Noyes Data, Park Ridge, NJ Ž w16x Y.B. Hahn, to be published.

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films

Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films ELSEVIER Materials Chemistry and Physics 49 (1997) 229-233 MATERIALS CHEMISTRYAND PHYSICS Off-axis unbalanced magnetron sputtering of YBa2Cu307 thin films Wen-Chou Tsai, Tseung-Yuen Tseng * Institute of

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force

Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force Ž. Applied Surface Science 144 145 1999 627 632 Atomic force microscopy study of polypropylene surfaces treated by UV and ozone exposure: modification of morphology and adhesion force H.-Y. Nie ), M.J.

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

ION BOMBARDMENT CHARACTERISTICS DURING THE GROWTH OF OPTICAL FILMS USING A COLD CATHODE ION SOURCE

ION BOMBARDMENT CHARACTERISTICS DURING THE GROWTH OF OPTICAL FILMS USING A COLD CATHODE ION SOURCE ION BOMBARDMENT CHARACTERISTICS DURING THE GROWTH OF OPTICAL FILMS USING A COLD CATHODE ION SOURCE O. Zabeida, J.E. Klemberg-Sapieha, and L. Martinu, Ecole Polytechnique, Department of Engineering Physics

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition

Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition Growth of giant magnetoresistance multilayers: Effects of processing conditions during radio-frequency diode deposition W. Zou, a) H. N. G. Wadley, and X. W. Zhou Department of Materials Science and Engineering,

More information

Mechanisms of inert gas impact induced interlayer mixing in metal multilayers grown by sputter deposition

Mechanisms of inert gas impact induced interlayer mixing in metal multilayers grown by sputter deposition JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Mechanisms of inert gas impact induced interlayer mixing in metal multilayers grown by sputter deposition X. W. Zhou and H. N. G. Wadley Intelligent

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Nanoscale Devices and Novel Engineered Materials

Nanoscale Devices and Novel Engineered Materials Progress Report #2 Sept 1, 1996 - August 31, 1997 Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F49620-96-1-0026 Prepared by: S.J. Pearton J.R. Childress Dept of Materials

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Effect on 4H-SiC Schottky Rectifiers of Ar Discharges Generated in A Planar Inductively Coupled Plasma Source

Effect on 4H-SiC Schottky Rectifiers of Ar Discharges Generated in A Planar Inductively Coupled Plasma Source JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.3, NO. 1, MARCH, 2003 21 Effect on 4H-SiC Schottky Rectifiers of Ar Discharges Generated in A Planar Inductively Coupled Plasma Source P.G. Jung, W.

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008)

June Key Lee. Department of Materials Science and Engineering, Chonnam National University, Gwangju (Received 26 August 2008) Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1140 1144 Surface and Electrical Properties of Inductively-coupled Plasma-etched N-face n-gan and a Method of Reducing the Ohmic

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition* Pure Appl. Chem., Vol. 77, No. 2, pp. 391 398, 2005. DOI: 10.1351/pac200577020391 2005 IUPAC Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor

More information

COMPARISON OF F2-BASED GASES FOR HIGH-RATE DRY

COMPARISON OF F2-BASED GASES FOR HIGH-RATE DRY .. COMPARISON OF F2-BASED GASES FOR HIGH-RATE DRY ETCHING OF Si D. C. Hays, K. B. Jung, Y. B. Hahn*, E. S. Lambers, and S. J. Pearton,-,,,>~,..,,,.,W,:-...,,.:W.++;,

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Magnetic mesa structures fabricated by reactive ion etching with CO/NH 3 /Xe plasma chemistry for an all-silicon quantum computer

Magnetic mesa structures fabricated by reactive ion etching with CO/NH 3 /Xe plasma chemistry for an all-silicon quantum computer INSTITUTE OF PHYSICS PUBLISHING Nanotechnology 16 (2005) 990 994 NANOTECHNOLOGY doi:10.1088/0957-4484/16/6/062 Magnetic mesa structures fabricated by reactive ion etching with CO/NH 3 /Xe plasma chemistry

More information

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System Korean Chem. Eng. Res., Vol. 44, No. 5, October, 2006, pp. 483-488 Remote r i l m zi PMMAm Š z Çmk o 200-701 o }e q 2 192-1 (2006 1o 5p r, 2006 6o 16p }ˆ) Influence of Loading Position and Reaction Gas

More information

Applied Surface Science CREST, Japan Science and Technology Corporation JST, Japan

Applied Surface Science CREST, Japan Science and Technology Corporation JST, Japan Ž. Applied Surface Science 130 13 1998 78 83 Selective chemical reaction of HBO molecules on the ž / Si 111-7 = 7 surface studied by scanning tunneling microscopy Koji Miyake a,), Masahiko Ishida a, Hidemi

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Growth of carbon nanotubes by chemical vapor deposition

Growth of carbon nanotubes by chemical vapor deposition Ž. Diamond and Related Materials 10 001 15 140 Growth of carbon nanotubes by chemical vapor deposition Minjae Jung a, Kwang Yong Eun b, Jae-Kap Lee b, Young-Joon Baik b, Kwang-Ryeol Lee b,, Jong Wan Park

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Design of a new family of catalytic support based on thiol containing plasma polymer films

Design of a new family of catalytic support based on thiol containing plasma polymer films Design of a new family of catalytic support based on thiol containing plasma polymer films Dr. D. Thiry damien.thiry@umons.ac.be Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, University of Mons,

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

Coupled perpendicular magnetization in Fe/Cu/Fe trilayers

Coupled perpendicular magnetization in Fe/Cu/Fe trilayers Journal of Magnetism and Magnetic Materials 300 (2006) 479 483 www.elsevier.com/locate/jmmm Coupled perpendicular magnetization in Fe/Cu/Fe trilayers D. Repetto, A. Enders, K. Kern Max Planck Institut

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Planar Hall Effect in Magnetite (100) Films

Planar Hall Effect in Magnetite (100) Films Planar Hall Effect in Magnetite (100) Films Xuesong Jin, Rafael Ramos*, Y. Zhou, C. McEvoy and I.V. Shvets SFI Nanoscience Laboratories, School of Physics, Trinity College Dublin, Dublin 2, Ireland 1 Abstract.

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation Microelectronic Engineering 73 74 (2004) 312 318 www.elsevier.com/locate/mee Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Spin-resolved photoelectron spectroscopy

Spin-resolved photoelectron spectroscopy Spin-resolved photoelectron spectroscopy Application Notes Spin-resolved photoelectron spectroscopy experiments were performed in an experimental station consisting of an analysis and a preparation chamber.

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Progress Report #4 September 1, 1998 August 31, Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F

Progress Report #4 September 1, 1998 August 31, Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F Progress Report #4 September 1, 1998 August 31, 1999 Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F49620-96-1-0026 Prepared by: S.J. Pearton Department of Materials Science

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

Reactive magnetron sputter deposition: a journey from target to substrate

Reactive magnetron sputter deposition: a journey from target to substrate Reactive magnetron sputter deposition: a journey from target to substrate D. Depla Dedicated Research on Advanced Films and Targets Ghent University Setting the scene Who? Some keywords Main features of

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma

Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma Low-temperature in situ cleaning of silicon (100) surface by electron cyclotron resonance hydrogen plasma Heung-Sik Tae, a) Sang-June Park, b) Seok-Hee Hwang, a) Ki-Hyun Hwang, b) Euijoon Yoon, b) and

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information