Nanoscale Devices and Novel Engineered Materials

Size: px
Start display at page:

Download "Nanoscale Devices and Novel Engineered Materials"

Transcription

1 Progress Report #2 Sept 1, August 31, 1997 Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F Prepared by: S.J. Pearton J.R. Childress Dept of Materials Science and Engineering University of Florida Gainesville, FL Tel: (352) Fax: (352) spear@mse.ufl.edu jchil@mse.ufl.edu Participants: University of Florida P.H. Holloway R.E. Hummel E.P. Goldberg Dept. of Materials Science and Engineering S. Hershfield F. Sharifi Dept. of Physics Florida State University S. Von Molnar Dept. of Physics University of California San Diego R.C. Dynes, F. Hellman, and I.K. Schuller Department of Physics Microelectronics Center of North Carolina G.E. McGuire Naval Research Laboratory R.J. Colton and L.J. Whitman

2 Table of Contents Status of Effort Research Report Etching of Magnetic Thin Films Ultrathin Magnetic Films E-beam Fabricated Nanostructures Theoretical Modeling of Electron Transport in Magnetic Structures Deposition and Patterning of Magnetic Materials Nanostructured Display Materials Etching of Flat Panel Display Materials Nano-crystalline Silicon Nanostructures and Magnetic Particles Magnetic Nano-Particles Activity Report Publications Technical Presentations Personnel Supported Technology Highlights Patents Honors / Awards

3 Status of Effort The second reporting period was a highly productive one for the program. Features in the Å scale were fabricated in a number of different materials systems, and the spectrum of activity ranged from the basic physics of superconducting nanostructures and interactions of small magnetic particles, to novel fabrication techniques for creation of ultra-small features, theory of GMR and potentially advanced spin-valve materials, to deposition and processing of display materials. The work supported by the MURI has both a long-term and a shorter-term focus, with the latter guided by input from several companies that have an interest in development of next and future generations of magnetic devices. Coordination between the different groups has been outstanding and has been one of the highlights of the program.

4 Etching of Magnetic Thin Films S.J. Pearton, University of Florida J.R. Childress, University of Florida F. Sharifi, University of Florida S. von Molnar, Florida State University We were able to demonstrate efficient dry etch processes for three different systems applicable to GMR- and CMR-based devices, namely NiFe, NiMnSb/Al 2 O 3 and LaCaMNO 3 /SmCo. Each is summarized below: 1) NiFe-based Materials A Cl 2 /Ar plasma chemistry operated under Electron Cyclotron Resonance (ECR) conditions is found to produce etch rates for NiFe and NiFeCo of >3,000Å min -1 at 80 o C. The etch rates are proportional to ion density and average ion energy over a fairly wide range of conditions. Under the same conditions, fluorine or methane/hydrogen plasma chemistries produce rates lower than the Ar sputter rate. The high ion current under ECR conditions appears to balance NiCl x, FeCl x and CoCl x etch product formation with efficient ion-assisted desorption, and prevents formation of the usual chlorinated selvedge layer that requires elevated ion etching conditions. Post Cl 2 -etch removal of surface residues is performed with an in-situ H 2 plasma exposure. Thin films of NiFe and NiFeCo are commonly used in magnetic devices such as read/write heads, sensors, non-volatile memories and microactuators. A general problem with these materials is that they are relatively inert in conventional plasma processes, and thus alternative methods such as ion milling, lift-off or electroplating have been employed for pattern transfer. As the areal recording density of magnetic read/write heads increases towards 10 Gbit in -2, the heads will need to have sub-micron track widths. At these dimensions it is imperative to have smooth anisotropic feature sidewalls, and a drawback with simple ion milling processes is that redeposition on the sidewalls may occur. Furthermore, mask erosion due to the low etch selectivity may produce sloped sidewalls and trenches or notches at the base of etched features. The etch rates of Fe-containing alloys may be increased by elevating the sample temperature during plasma exposure, but this is undesirable in manufacturing applications due to difficulties in process repeatability and may not be possible with some magnetic materials due to thermal stability concerns. We show that NiFe and NiFeCo can be etched at rates a factor of approximately two faster than Ar milling under the same conditions, using a high ion density Cl 2 /Ar discharge. The chemical enhancement derives from balancing formation and ion-assisted sputter desorption of the chloride etch products and avoiding formation of a thick, chlorinated selvedge layer. Chlorine residues have been removed by an in-situ H 2 plasma clean. Under our high ion flux

5 conditions, photoresists masks display unacceptable dimensional degradation, and dielectric masks must be used. For SiO 2, typical etch selectivities of are found for NiFe and NiFeCo over the dielectric mask. Layers of Ni 0.8 Fe 0.2 and NiFeCo in the thickness range Å were deposited on Si by dc magnetron sputtering, and patterned lithographically with photoresist. In some cases the photoresist was used to transfer the pattern into an underlying SiO 2 or SiN x ( Å thick) layer that had been deposited by plasma-enhanced chemical vapor deposition at <300 o C. In this fashion we were able to compare the relative merits of photoresist and dielectric as mask materials. Dry etching was performed in a Plasma Therm SLR 770 system in which the plasma is generated in an ASTEX 4400 low profile Electron Cyclotron Resonance (ECR) source operating at 2.45 GHz. As the source power is increased in this tool from W the ion density increases from ~10 9-5x10 11 cm -3. The He backside-cooled sample chuck is separately biased with rf power (13.56 MHz) between W to control ion energy between -40 and - 275eV (this depends on both rf chuck power and ECR source power). The process pressure was generally held at 1.5 mtorr. Electronic grade gases were fed into the ECR source through mass flow controllers at a total flow rate of 15 standard cubic centimeters per minute (SCCM). Several different gas chemistries were examined, and the sample temperature was 80 o C at all times. Etch rates were determined by stylus profilometry after removal of the mask material (in acetone for resist, HF/H 2 O for SiO 2 or CF 4 /O 2 barrel etching for SiN x ). The near-surface composition was examined by Auger Electron Spectroscopy (AES) and feature anisotropy by scanning electron microscopy (SEM). Figure 1 shows the etch rates of NiFe as a function of microwave ECR source power at fixed rf chuck power (top) and as a function of rf chuck power at fixed source power (bottom). There are several features to note in each plot. Firstly, only Cl 2 /Ar provides a clear etch-rate enhancement over simple Ar sputtering and this rate is proportional to source power over the range W. We found from separate experiments that the etching is not limited by the supply of Cl 2 to the surface under these conditions, since increasing the ratio of Cl 2 -to-ar actually decreased the etch rate. Rather, the key feature is maintaining an argon ion-to-chlorine neutral ratio that allows a continuous balance between formation of NCl x and FeCl x products, and their efficient ion-assisted desorption. If the Ar-to-Cl 2 ratio is allowed to become too large, we revert to basically physical sputtering. Conversely, if the Cl 2 coverage density becomes too high (via discharge composition, pressure or having too low an ion flux or energy), then the etching is quenched, and one may even have net deposition through formation of a chlorinated selvedge layer. The second feature of the data in Figure 1 is that SF 6 /Ar or CH 4 /H 2 /Ar plasma chemistries produce etch rates lower than simple sputtering, indicating that the fluoride and methyl-adduct reaction products are not volatile, and actually shield the NiFe surface, reducing the sputter rate. Similarly, in a Cl 2 /Ar discharge the NiFe etch rate is again lower than that of Ar sputtering. These are two possible contributions to this result - the light H + 2 ions are inefficient

6 in sputtering the nickel and iron chlorides and optical emission spectroscopy shows that the atomic Cl density is reduced in a Cl 2 /H 2 discharge relative to Cl 2 /Ar at the same conditions through recombination with atomic hydrogen. The similar dependencies of NiFe removal rate in Cl 2 /Ar and pure Ar with microwave power indicates that the rate-limiting step with the former is still the desorption of the chloride etch products since the main effect of increasing source power is the associated increase in ion flux to the sample. Figure 1. NiFe etch rates in different plasma chemistries at fixed rf chuck power (150W) and pressure (1.5 mtorr) as a function of microwave source power (top) or rf chuck power (bottom). The data in the lower part of Figure 1 shows that there is a threshold ion energy (at constant ion flux) to efficiently remove the etch products. The NiFe rate increases rapidly with chuck power (or equivalently ion energy) and is above sputter rate at a power of ~70W, corresponding to a dc self-bias of -65V. Above a chuck power of ~150W (dc self-bias of -135V) the etch rate decreases. This type of behavior is commonly observed in ECR etch processes, and is usually ascribed to the active etch species (Cl materials in this case) being removed by ionassisted desorption before they have a chance to complete formation of the etch products. We observed the same qualitative trends in etch rate of NiFeCo with both source and chuck power, with all rates being roughly 50-80% lower than for NiFe. Figure 2 shows AES surface scans of NiFeCo after a brief etch in 1.5 mtorr, 150W rf chuck power discharges of 10Cl 2 /5Ar, with either 0W ECR source power (top), which corresponds to conventional reactive ion etching (RIE), or with 1000W source power (bottom). In the former case there is a large peak due to chlorine resides, consistent with formation of a thick ( 100Å) chlorinated selvedge or reaction layer that prevents further etching. However with

7 a high ion flux simultaneously incident with chlorine adsorption, the chlorinated etch products are removed as quickly as they form, and a balance is maintained between product formation and product removal by ion-assisted desorption. This mechanism exposes a fresh surface for the process to occur all over again. Similar results have been reported previously for ECR Cl 2 /Ar etching of InP, where again the InCl 3 etch product is normally involatile at normal temperatures under RIE conditions. Note in Figure 2 that the Cl-to-Ni ratio (in raw, uncorrected counts) decreases from ~2:1 for the RIE sample to ~0 4:1 for the ECR sample. The presence of chlorine-related surface (and sidewall) residues is clearly of concern with respect to subsequent corrosion of the metal. This is a similar situation to that of Cl 2 /BCl 3 plasma etching of Al in Si microelectronics technology, and in the current case we have investigated a number of in-situ post Cl 2 -etch cleaning steps to remove these surface residues. AES depth profiling of the ECR etched samples showed the Cl was restricted to 20Å from the immediate surface. The Cl concentration could be reduced to the sensitivity limit of AES ( 1 at %) by a 3 min, 15 mtorr H 2 plasma treatment at 1000W ECR source power with zero Watts rf chuck power. We expect that the chlorine residues are volatilized as HCl during exposure to the H 2 plasma. Note that we have only examined surface residues on the field between features and at this point not on the feature sidewalls where the initial residue thickness might be expected to be greater because of the absence of ion bombardment during the initial etch step. Figure 2. AES surface scans of NiFeCo after a brief etch in 10Cl 2 /5Ar, 1.5 mtorr, 150W rf chuck power discharges with either 0W microwave source power (top) or 1000W microwave source power (bottom).

8 SEM micrographs of features etched into 5000Å thick NiFe layers masked by 1500Å thick oxide are shown in Figure 3. The sidewalls are smooth and straight, and we have not seen any obvious visual effects of corrosion over a period of several months indicating there is not gross contamination of the sidewall with chlorine residues. Note that photoresist masks did not hold up well for microwave source powers above ~600W because the high ion flux leads to significant preferential sputtering of H from the near-surface and degrades the resist morphology and dimensional integrity. Under these conditions, the oxide and nitride masks were stable and are better choices as masking materials. The selectivity for etching NiFe and NiFeCo over the dielectrics in 150W chuck power, 10Cl 2 /5Ar discharges is shown in Figure 4 as a function of microwave source power. For both magnetic materials, oxide provides higher selectivity than nitride, and the selectivities are higher relative for NiFe due to its higher etch rates compared to NiFeCo. Figure 3. SEM micrographs of features etched into NiFe layers using an ECR 10Cl 2 /5Ar plasma (800W microwave source power, 150W rf power, 1.5 mtorr). The oxide masks are still in place. In summary high rate etching of NiFe and NiFeCo is possible at 80 o C under high ion density ECR plasma conditions by balancing the ion-neutral ratio and preventing the formation of a selvedge layer. The high ion flux essentially provides the impetus for etching, replacing the need for the elevated sample temperatures ( 200 o C) necessary under conventional RIE conditions. These new etch regimes accessible with high density plasma reactors may have useful application to fabrication processes for advanced magnetic devices.

9 Figure 4. Etch selectivity of NiFe and NiFeCo over SiO 2 and SiN x mask materials in 10Cl 2 /5Ar, 1.5 mtorr, 150W rf chuck power discharges, as a function of microwave source power. 2) NiMnSb Heusler Alloy Thin Films A variety of plasma etching chemistries were examined for patterning NiMnSb Heusler alloy thin films and associated Al 2 O 3 barrier layers. Chemistries based on SF 6, Cl 2 and BCl 3 were all found to provide faster etch rates than pure Ar sputtering. In all cases the etch rates were strongly dependent on both the ion flux and ion energy. Selectivities of 20 for NiMnSb over Al 2 O 3 were obtained in SF 6 - based discharges, while selectivities 5 were typical in Cl 2, BCl 3, and CH 4 /H 2 plasma chemistries. Wet etch solutions of HF/H 2 O and HNO 3 /H 2 SO 4 /H 2 O were found to provide reaction-limited etching of NiMnSb that was either non-selective or selective, respectively, to Al 2 O 3. Ferromagnetic thin films and multilayers are currently being used in various magnetic recording and non-volatile memory applications. Interest in these materials for microelectronic applications has increased dramatically since th4e discovery of giant magnetoresistance (GMR) in multilayers comprised of alternating ultrathin (10-50Å) ferromagnetic/noble metal layers. Briefly, the GMR effect can be understood in terms of spin-dependent scattering of conduction electrons within the ferromagnetic layers and/or at ferromagnetic/non-magnetic interfaces. Given a difference in resistivity between spin-up and spin-down electrons ("=D /D 1), the resistance of the multilayer can be varied by changing the relative magnetic orientation of the ferromagnetic layers within an electron mean free path. Ideally, this spin-selectivity would be infinite, so that complete control over spin-currents in magnetic devices could be achieved. This can be realized in principle in so-called half-metallic materials which are metallic for one spin type and insulating (or semiconducting) for the other. While a number of ferromagnetic half-metals have been predicted based on band structure calculations, there has not been straightforward experiments that demonstrate this behavior. The Heusler alloy NiMnSb is a strong candidate for useful half-metallic behavior, due to its high Curie temperature (720K). Recently, significant

10 experimental effort has been expanded to deposit high-quality thin-films of NiMnSb for magnetoresistive applications. The spin filtering effect of NiMnSb thin layers will be maximized when the current flows normal to the layer plane, either resistively or by tunneling through an oxide barrier such as Al 2 O 3. Therefore, the fabrication of small, high-quality etched patterns is particularly important to the potential application of these films. In this paper, we report on the plasma etching of sputter-deposited NiMnSb thin films, and on selective wet and dry etch processes for NiMnSb and Al 2 O 3 structures. Etch rates were faster than with Ar alone obtained for both materials in Cl 2 /Ar discharges, as shown in Figure 5 (top). The enhancement in NiMnSb etch rates relative to pure Ar under the same conditions ranged from ~10% at low microwave source powers to ~30% at 1000W, even at lower ion energies. The etch rates for NiMnSb were up to a factor of two higher than for Al 2 O 3 at high source powers. While etch products such as SbCl 5 and AlCl 3 are quite volatile, nickel and manganese chlorides have relatively low vapor pressures and require ion assistance to promote their desorption. The advantage of the high ion fluxes under ECR conditions is two-fold. First, in strongly bonded materials such as Al 2 O 3, one of the rate-limiting steps will be the ability to initially break bonds in order to allow the etch products to form. Therefore, at constant etch yield (i.e. atoms of the substrate removed per unit incident ion ), a higher ion flux will produce a higher etch rate. The second advantage of the ECR discharges is that the high ion flux more effectively assists etch product desorption. Under more conventional reactive ion etching conditions this ion-assisted desorption is inefficient, allowing a thick selvedge or reaction layer of the involatile etch products to form on the sample surface. This layer shields the surface from further interaction with the plasma and etching stops. The selectivity for etching NiMnSb over Al 2 O 3 is 2 in Cl 2 /Ar over the microwave source power range W. Qualitatively similar results were obtained with BCl 3 /Ar discharges, with selectivities of 1 at 600W microwave power and ~5 at 1000W source power. The most efficient etching of NiMnSb was found with the SF 6 /Ar plasma chemistry. In fact the etch rates were 1.6Êm min -1 even for the lowest microwave source power at which ECR discharges were stable, namely 400W. The etch rates were impossible to accurately quantify at high powers because the entire NiMnSb film disappeared in 15secs under these conditions. By contrast, as shown in Figure 5 (bottom), the etch rates of Al 2 O 3 are 1200Å min -1 over the entire range of source powers, leading to selectivities of NiMnSb over Al 2 O 3 of 20. This is not too surprising given that AlF 3 is significantly less volatile than AlCl 3, reducing the etch rate of Al 2 O 3 in fluorine-based plasma chemistries relative to that in chlorine-based chemistries. To summarize the dry etch results, we find that Cl 2 -, BCl 3 -,and SF 6 -based plasmas all provide some chemical enhancement of etch rates relative to pure Ar sputtering under the same conditions. The etch rates increase with both ion flux and ion energy as sputter-assisted desorption of the etch products is enhanced. The etched surfaces of NiMnSb retain their stoichiometry beyond the top ~75Å. Near equi-rate etching of NiMnSb and Al 2 O 3 is achieved in

11 Cl 2 /Ar or BCl 3 /Ar at microwave source powers 600W at low rf chuck power (150W), while selectivities up to 5 are obtained in CH 4 /H 2 /Ar over a wide range of conditions, or with Cl 2 /Ar and BCl 3 /Ar at high microwave powers. The highest selectivities ( 20) were achieved with the SF 6 /Ar plasma chemistry. Figure 5. Etch rates of NiMnSb and Al 2 O 3 as a function of microwave source power in 1.5mTorr, discharges of Cl 2 /Ar at 150W rf chuck power (top) or SF 6 /Ar at 250W rf chuck power (bottom). 3) LaCaMnO 3 /SmCo Thin Films A number of different plasma chemistries have been employed for patterning of LaCaMnO 3 and SmCo thin films for application in magnetic field-biased structures based on the colossal magneto-resistive effect. For LaCaMnO 3 there was no chemical enhancement in etch rate over simple Ar sputtering for Cl 2, SF 6 and CH 4 /H 2 plasmas under high ion density conditions. This is expected based on the vapor pressures of the prospective etch products. For SmCo however, etch rates up to 7,000 Å min -1 were obtained in Cl 2 /Ar plasmas, which is an order of magnitude faster than Ar sputtering under the same experimental conditions. Smooth etched surface morphologies and anisotropic sidewall were obtained for both materials over a wide range of plasma source and chuck powers.

12 New interest in the design of magnetic sensors, magnetic memories and other devices based on magnetic and magneto-resistive materials has been initiated by the discovery of multilayered giant magnetoresistive (GMR) materials and more recently by the study of lamanganite perovskite colossal magnetoresistive (CMR) materials. In both cases the implementation of practical microelectronic devices requires the development and control of etching and patterning procedures which do not degrade the magnetic properties of the materials. Generally, the magnetic field response of magnetic thin-film materials is highly sensitive to their microstructural and interfacial properties. In the case of La-manganite materials, another limitation at present is that the observed field-induced resistivity transition is most sensitive above magnetic fields of about 1 Tesla. Thus the necessary bias field is too large to be produced by an electrical current within the device, as is done for typical low-field magnetoresistive sensors. Consequently it may be necessary to provide a fixed, built-in bias field within the device, from a hard magnet material such as SmCo. In that case etch and patterning recipes must also be developed for such materials. In this paper we report on the Ar-based plasma etching of LaCaMnO 3 and Sm-Co-based materials which may be used as the basis for CMR-device structures, and on the effect of different plasma chemistries, namely SF 6, CH 4 /H 2 and Cl 2 additions, on the etch characteristics. Table I lists boiling points of some potential etch products in the plasma chemistries investigated here. To achieve smooth etched surfaces, it is obviously necessary to remove the etch products at equal rates for all of the elemental constituents and ion assistance is critical in desorbing the less volatile products. Figure 6 shows the dependence of LaCaMnO 3 etch rate on dc self-bias on the sample chuck for the four different plasma chemistries. Note that the results for Cl 2 /Ar basically follow those for pure sputtering (Ar), indicating that the La, Ca and Mn chlorines are not particularly volatile even at the high ion fluxes (~10 15 ions cm -2 sec -1 ) available in the ECR tool. In other words, the etching is limited by the sputter yield at each ion energy; to increase the volatility of the chloride etch products it would be necessary to increase the substrate temperature. This is generally not an attractive option from a practical viewpoint because of the limitation it places on mask materials and the requirement for reproducible thermal contact for each sample. The results for the SF 6 /Ar and CH 4 /H 2 /Ar plasma chemistries show that the etch products for these are even less volatile and the etching is most likely retarded by formation of a selvedge or reaction layer with these chemistries.

13 Table I: Boiling Points of Potential Etch Products of La x Ca 1-x MnO 3 and SmCo. Etch Products Boiling Point ( o C) Etch Products Boiling Point ( o C) LaCl 3 >1000 SmCl 2 >740 LaF 3 SmCl 3 La 2 S vac. SmF 2 >2400 (CH 3 ) 3 La SmF CaCl SmH 2 CaF (CH 3 ) 2 Sm CaH 2 D 816 CoCl (CH 3 ) 2 Ca CoCL 3 CaS d CoF MnCl CoF 3 MnCl 3 d CoH 2 MnF 2 > 856 (CH 3 ) 2 Co MnF 3 d MnH 2 (CH 3 ) 2 Mn Cl 2 O 2.2 ClO 2 11 Cl 2 O 3 Cl 2 O O Cl 2 O 7 82 F 2 O F 2 O 2-57 H 2 O 100 (CH 3 ) 2 O Figure 6. Etch rate of LaCaMnO 3 in various plasma chemistries as a function of chuck self-bias. The ECR source power was held constant at 1000W.

14 There was a substantial degree of chemical enhancement observed for the etching of SmCo in Cl 2 /Ar chemistries, as shown in Figure 7. The etch rate is approximately a factor of 10 to 12 higher than for pure Ar up to dc self-biases of ~-217V; at higher biases the etch rate with Cl 2 /Ar saturates and then decreases. The self-bias corresponds fairly closely to the acceleration voltage experienced by ions impinging on the sample. As this voltage increases so does the average ion energy. Up to a particular energy, the etch rate is increased by the higher sputtering efficiency that more effectively desorbs the etch products. However above this energy (in these experiments ~250eV) the ions are able to desorb the chlorine radicals before they are able to react with the SmCo and hence the etch rate decreases. The SF 6 /Ar plasma chemistry provides etch rates faster than pure sputtering at biases up to ~-200V, but show a dependence on bias that is less than that for Ar at higher values. Figure 7. Etch rate of SmCo-based films in various plasma chemistries as a function of chuck self-bias. The ECR source power was held constant at 1000W. As predicted by the volatilities of the potential etch products, we were unable to obtain any degree of chemical enhancement in plasma etching of LaCaMnO 3 in any of the common chemistries (Cl 2, F 2 or CH 4 /H 2 ). For this material, therefore, simple Ar ion milling at modest acceleration voltages to avoid preferential sputtering effects is probably the best choice for pattern transfer processes. This is a disadvantage if deep features are required, because the associated mask thickness would need to be similar to the etch depth in the LaCaMnO 3. Smooth, anisotropic pattern transfer is achieved at modest ion energies under ECR plasma conditions. By contract, chemical etch enhancements relative to pure Ar sputtering were obtained for SmCo with Cl 2 /Ar over the whole range of dc self-biases examined and with SF 6 /Ar at low biases (up to approximately -200V). The sidewalls on etched features were again vertical, and the etched field quite smooth. Selectivities as high as ~12 were obtained for SmCo with respect to SiO 2 and SiN x in Cl 2 /Ar discharges.

15 Ultrathin Magnetic Films J.R. Childress, University of Florida F. Sharifi, University of Florida 1) Magnetic and Magnetoresistive Properties of Low-Dimensional Multilayers We have succeeded in establishing a reproducible fabrication process for forming onedimensional magnetic structures. Our present nanowire fabrication process requires three steps: 1) deposition of wire material, 2) e-beam patterning, and 3) ion-milling. Before deposition, approximately 1 micrometer of SiO2 is grown on a Si wafer by wet thermal oxidation. (a) Fig.1 After the oxide growth, the appropriate magnetic films are sputter deposited under optimal growth conditions. For the Ni nanowires, the growth is done at 2 mt Ar pressure, at a rate of 1nm/minute for a total film thickness of 50 nm. After the film deposition, an electron beam resist bi-layer consisting of 50 nm (PMMA-MAA) and 100 nm of PMMA is spun and baked. At this stage, the samples are e-beam patterned and developed. The pattern is then metallized by DC sputter deposition of Nb using a collimating body in front of the sample followed by lift-off. Once the Nb mask pattern is transferred, the Nb structure is used as a plasma etch mask resulting in Ni nanowire pattern with integrated leads (Fig.1(a)) A major concern in this effort was to ensure that the processing techniques did not result in degradation of the transport or magnetic properties of these epitaxial films. To this end, (b)

16 resistivity measurements were performed on Ni wires produced through this fabrication process with preliminary linewidths down to 75 nanometers (Fig.1(b)). These wires showed no appreciable change in room temperature resistivity after processing (Fig.2). Size scale effects were manifested by an enhanced residual resistivity due to geometrically induced boundary scattering (Fig.2) and a decreased AMR sensitivity (Fig.3 & 4). Fig.2 Resistivity vs. temperature for processed and patterned Ni nanowires Fig.3 Magnetoresistance vs. field-current angle for processed and patterned Ni nanowires

17 Fig.4 Magnetoresistance vs. temperature for processed and patterned Ni nanowires Having established the feasibility of our processing procedure, we are now extending these measurements to smaller structures. Furthermore, these 1-d magneto-transport studies will also be performed on the giant magnetoresistance multilayer structures. 2) Development of spin-polarized magnetic layers This project is concerned with the fabrication and characterization of thin-film structures based on sputter-deposited NiMnSb, which has been predicted to be half-metallic, i.e., be conducting for only on spin polarization. This property is useful for giant-magnetoresistive materials, as well as for the development of devices based on electronic spin currents. During the past year, we have expanded our work from single-layer to multilayer films, and have begun testing transport properties to evaluate the potential of NiMnSb-based structures. First, singlelayer films have been characterized by magneto-optical Kerr spectrometry (in collaboration with IBM), to determine the band-structure similarity between our films and high-quality bulk samples of NiMnSb. As shown in Fig.1, Kerr spectra of optimized films (T substrate = 250 C, P Ar = 2 mtorr, Rate = 0.25 Å/sec) match very closely with the published bulk spectra. While this does not establish the band structure of the films, it demonstrates that the film properties match those of high-quality bulk structures.

18 Kerr Rotation (degrees) Energy (ev) Fig.1: (a) Kerr rotation spectra for 1000Å-thick NiMnSb thin films grown at 15W rf power, 2mTorr Ar sputtering pressure and substrate temperatures of 300 C and 250 C. For comparison, the data from ref.(7) obtained on bulk NiMnSb samples is also shown. To test the possibility of ultra-thin film deposition and multilayering, we have studied the properties of NiMnSn/Cu and NiMnSb/Ag multilayers. As shown in Fig.2, the expected magnetization of NiMnSb is conserved for ultrathin (30Å) NiMnSb layers in NiMnSb/Cu multilayers, while that for NiMnSb/Ag multilayers is reduced by about 10-15%. This suggest that greater interdiffusion occurs for Ag-based multilayers compared to Cu. Consequently, we will focus on Cu as an interlayer material in the future. Also note (Fig.2) that as the Cu thickness is increased above 50Å, a rapid degradation of the properties is observed, and that a gradual increase in coercivity occurs at t cu is increase. This suggest that the overall structural quality of the multilayers (with 10 repeated bilayers) decreases as t Cu is increased. We believe that this effect is due to a degradation of the multilayer interface upon layering, and that a reduction in the total number of layers will be necessary in future structures, such as in the spin-valve multilayers described below. M S (emu/cm 3 ) T=10K t Cu (Å) Ref[7] Coercivity (Oe) Fig.2: Saturation magnetization (MS) as a function of tcu for [NiMnSb(30Å)/Cu(tCu)]10 deposited at 250 o C (closed circles) and 200 o C (open circles). The discontinuous line corresponds to the MS value for 1000Å-thick NiMnSb films from ref[1]. Coercivity versus tcu is also shown for multilayers deposited at 250 o C (open diamonds).

19 Finally, we have begun to fabricate trilayer spin-valve structures, to test the GMR response of NiMnSb films combined with Co, NiFe and NiMnSb. Cu was chosen as the interlayer based on our study of NiMnSb multilayers. Structures were made to measure both current-in-plane (CIP) magnetoresistance and current-perpendicular-to-plane (CPP) magnetoresistance (in collaboration with Michigan State University). In the event of a large spin-polarization in NiMnSb, it is expected that the CPP geometry will yield large GMR effects due to the strong spin-dependent scattering within the volume of the NiMnSb layers. Fig.3 shows the results of magnetic and CIP-MR measurements of NiMnSb/Cu/NiMnSb spin-valves where the top NiMnSb layer has been "pinned" by a 100Å-thick film of the antiferromagnet FeMn. Ideal spin-valve behavior is obtained, with a near-perfect antiparallel alignment of the magnetization in a field of Oe, where an increase in the resistivity is observed as expected, with a small effect size due to the dominance of the thick Cu layers in this geometry. Measurement in the perpendicular (CPP) direction are currently underway to better investigate the scattering within the volume of the NiMnSb layers. Fig.3: Magnetoresistance (top) and magnetization loop (bottom) for a NiMnSb(200Å)/Cu(150Å)/NiMnSb(100Å)/FeMn(100Å) spin-valve structure deposited on Si. 3) Molecular Beam Epitaxy Capability As projected in the previous report, we have completed this year the development of our molecular beam epitaxy capability. The system has very recently been outfitted with its final components, including a substrate manipulator and heater, load-lock system, and reflection highenergy electron diffraction system. This new evaporation capability (Fig.4) will be used to fabricate low-dimensional magnetic structures using in-situ nanomasks (see project 1 above), as well as patterned Pt/Co multilayers structures.

20 Rotation 0-20 rpm ion clean & etch Ion Pump RHEED Substrate K Quartz Turbo Pump Computer Control K-Cell 1400ÞC 4-pocket e-beam Ion Pump Ti Sublimation LN2 shroud P-5x10-10 Torr e Fig.4: Schematic of new e-beam evaporation system 4) Granular Multilayers Granular Co-Cu alloys, which contain nanometer-size Co grains precipitated in a Cu matrix, have been of interest for both their enhanced magnetic properties and magneto-transport characteristics. Their enhanced magnetic properties are consistent with those of single-domain magnetic grains. These properties include shape dominated magnetic anisotropy, high coercivity, and enhanced remanence.[1-3] Giant magnetoresistance (GMR) can be observed in these materials upon alignment of the magnetization of individual grains in an applied field. We have investigated the blocking temperature and coercivity of granular [Co 25 Cu 75 / Cu] multilayer films deposited at 100 C, 150 C, and 250 C, as a function of Cu thickness t Cu (0-50Å) and Co 25 Cu 75 composite thickness t G (5-250Å), as shown schematically in Fig.5. In particular, the role of 2-D confinement and interlayer coupling was investigated to evaluate the possibility of enhancing both the coercivity and superparamagnetic blocking temperature to values more useful for further applications.

21 x n Cu t Cu Co/Cu Cu Co/Cu t G Glass Substrate Fig.5: Schematic of granular (CoCu)/Cu multilayer structure Both the coercive field at 10K and the maximum superparamagnetic blocking temperature T B are found to be sensitive to finite-size effects in single-layer films, and to interactions between layers in multilayer films. Our results indicate that the magnetic properties of granular alloy layers can be engineered to a large extent by selectively modifying the local environment of the Co particles, in particular, altering the nature and degree of magnetic coupling between particles. One striking example of the effect of multilayering at the nanometer scale in these materials is shown in Fig.6, which displays the measured GMR ratio as a function of Cu spacer layer thickness. The GMR is increased by a factor 2 both at low temperatures and room temperature upon spacing the granular layers by 2 nm of pure Cu. The origin of this increase is either the decrease of direct (pinhole) coupling between neighboring magnetic particles, or the increase in dipolar or indirect exchange coupling at these length scale, favoring an anti-parallel orientation of the particle moments in zero magnetic field. MR (%) Bulk T SUBSTRATE =150ÞC T=10 K T=300 K Cu spacer thickness (Å) Fig.6: Saturation magnetoresistance ratio at 10K and 300K, as a function of Cu spacer layer thickness, for granular (CoCu) 50Å /Cu multilayer films deposited at 150 C.

22 1) High-Density GMR Multilayer Structures E-beam Fabricated Nanostructures F. Sharifi University of Florida We have developed processing techniques to fabricate ultra-high density MRAM devices based on GMR multilayer structures. The process involves creating an etch mask out of the top layer of back sputtered quartz (BSQ) by e-beam lithography. First, we remove any excess BSQ by etching the top surface in dilute HF until there are angstroms of BSQ left. Next, we spin 3% PMMA at 5000 RPM for 60 seconds on the BSQ, and bake the PMMA for 6 hours at 155 C. This produces a resist layer about 1300 angstroms thick. We then use a modified SEM to write a bit pattern at 35 kv and a total dosage of µc/cm2. Next, we develop the patterned resist in MEK/ETOH, rinse first in MIBK/IPA, and rinse a second time in IPA. We then RIE etch the patterned BSQ in CCl2F2 for minutes until all of the BSQ is removed from within the patterned area. Finally, we RIE etch for 10 minutes in O2 to remove any polymerized resist from the surface. The patterned BSQ is now ready to be used as a mask for etching the underlying layers. Figure 1 shows two SEM micrographs of BSQ patterned by e-beam lithography. The micrographs were taken after the CCl2F2 etch and before removal of polymerized resist. The goal of this project is to manufacture non-volatile MRAM structures at a 64 Gbit/in2 pattern density. We have exceeded this density and are now developing patterning techniques to extend such densities over large-area substrates. 2) Electron Tunneling in Magnetic Semiconductors We have undertaken an exhaustive series of electron tunneling studies of the rare-earth hexaboride magnetic semiconductors. These measurements have determined the band structure of these materials and the role magnetic interactions play in their electron transport properties. Our measurements have shown that the accepted model of d and f band hybridization is inadequate in explaining the observed transport properties. Rather, we have shown that a lattice distortion, possibly due to a Jahn-Teller effect, causes an indirect band gap at the k-space X point of these structures. It is this indirect bad gap that is responsible for the transport properties of these materials.

23 (a) (b) Fig. 1 SEM micrographs of BSQ patterned by e-beam lithography. Image a) was taken at 45 to sample surface. Image b) was taken at 30 to sample surface with the sample rotated by 90 with respect to image a). The areal density is 3.3 Gbits/cm2. The graininess is due to polymerized resist. Theoretical Modeling of Electron Transport in Magnetic Structures S. Hershfield, University of Florida 1) GMR in laterally confined multilayers One of our initial goals in this project was to determine the effect of reducing the size of GMR devices. With Kingshuk Majumdar (student) and Jian Chen (post-doc) we have solved the Boltzmann equation for the giant magnetoresistance as a function of the width in laterally confined multilayers. As expected the surfaces induce more scattering. Unless special

24 precautions are taken, this scattering is not strongly spin dependent, and the GMR is reduced as the width of the laterally confined multilayers is decreased. However, if the surfaces can be made to have strong spin-dependent scattering, with for example a special coating, then the GMR can actually be enhanced as one reduces width. In any case, the length scales at which these changes from ordinary multilayer behavior become important is quite small, of order the mean free path and the thickness of the layers, which can be 100 Å or less (see Fig.1) 2) Effect of spin-flip scattering on the CIP GMR Traditional calculations of the GMR in the CIP geometry have not included the effect of spin-flip scattering because the spin-diffusion lengths are usually assumed to be quite large. From recent experiments done at Michigan State and in France, it has become apparent that the spin diffusion length in permalloy, which is one of the most widely used magnetic materials, is actually quite small, possibly only a few times the elastic mean free path. Thus, we have solved the Boltzmann equation in the CIP geometry in the presence of strong spin-flip scattering. For the GMR due to bulk spin scattering anisotropies we find that the GMR is greatly reduced by a small spin diffusion length; however, quite surprisingly, the GMR due to surface scattering anisotropies is not reduced. Thus, having a small spin diffusion length selectively enhances the role of surface spin scattering anisotropies. Fig.1: Giant magnetoresistance of a three wire structure as a function of width for (a) bulk scattering, (b) surface scattering and (c) both bulk and surface scattering. The symbols refer to different film thicknesses, t PM = t FM = 8Å (circle), 20Å (box), and 30Å,(triangle). In all cases the GMR decreases as we laterally confine the multilayers. The origin of this decrease in the GMR can be understood in terms of changing the effective mean free paths in the wires. As the wire width is reduced the effective mean free path within each wire decreases. To make this more quantitative

25 we obtain an effective mean free path for each wire and use these mean free paths in a multilayer calculation (infinite width wire). The results, which are shown as the solid lines, are in good agreement with the exact calculation (symbols). The parameters are chosen for a Co/Cu/Co structure. 3) Full quantum microscopic calculations While the Boltzmann equation calculations are excellent for obtaining trends, to obtain a full quantitative understanding of the giant magnetoresistance, one needs to include materials details, such as realistic electronic structure and surface scattering. We have developed an algorithm and a computer code based on the impurity averaged Green function technique and Kubo's formula. Tat-Sung Choy, a graduate student, has tested this algorithm against the Landauer formula averaging over different configurations of the disorder and found excellent agreement. We have chosen two classes of experiments to compare our calculations to: (i) the effect of coating the interfaces of magnetic multilayers with different materials and (ii) recent experiments of Schuller's group on very clean magnetic multilayers which show large oscillations in the resistivity as a function of sample thickness at zero magnetic field. In the case of interface coating, we have computed the GMR for Fe/Cr multilayers with interfaces coated with V, Mn, Al, Ag, or Au. A large change in the GMR is obtained when the coating layer is only one or two atomic layers thick, in qualitative agreement with the experiments. We are waiting for a recently ordered computer to perform more extensive calculations and compare quantitatively to the experiments. In case (ii) Tat-Sung Choy has found a superlattice effect observable in samples where most of the scattering comes from sharp interfaces. As the layer thicknesses change, oscillations in the resistivity of up to 100% are found at zero magnetic field. Again we will compared directly to the experiments once we have the computing power to include full band structure. 4) Magnetic Tunnel Junctions For samples with very small grains and insulating barriers, such as nanoparticles and quantum dots, one sees the effect of the Coulomb blockade, which comes from the charging energy of a grain being larger than the temperature. Kingshuk Majumdar has recently computed the conductance vs. voltage curves for double tunnel junction Coulomb blockade devices with magnetic materials. The scale of the magnetoresistance in these systems is set by the junction magnetoresistance of the two junctions in series. There are oscillations in the magnetoresistance as a function of voltage which are closely correlated to the effects of the Coulomb staircase seen in these and ordinary Coulomb blockade devices. The oscillations can either enhance or reduce the magnetoresistance, depending on the materials used.

26 I. Summary Deposition and Patterning of Magnetic Materials MCNC s Role in the Program Gary E. McGuire, Dorota Temple, MCNC The primary objective of the effort at MCNC, subcontractor in the present program, is to support development of magnetic multilayer materials through: development of liftoff processes for patterning of magnetic materials on the micron and submicron scale providing liftoff patterns for deposition of magnetic multilayers at the University of Florida (UF) design of lithographic masks/reticles for use in patterning characterization of multilayers deposited at UF and MCNC using transmission electron microscopy (TEM) with enhanced phase contrast The secondary objective of the MCNC s program is to develop an ion beam sputtering technique for deposition of selected multilayer structures. Accomplishments During the Last Reporting Period High resolution liftoff process capable of providing patterned layers with critical dimensions as small as 0.5 µm has been developed and tested with several different materials and film deposition techniques (rf sputtering and e-beam evaporation). Wafers with high resolution liftoff patterns were delivered to UF. A new reticle set with the layout optimized for investigation of magnetic and magnetotransport properties of patterned layers has been designed and fabricated. A fabrication run utilizing the new reticle set is in progress. Wafers with the new liftoff pattern will be delivered to UF by the end of August. MCNC has developed expertise in TEM analyses of magnetic multilayers utilizing the phase contrast originating at interfaces between the constituent layers. It was also demonstrated that the focused ion beam (FIB) method of the TEM sample preparation leads to good quality images of the multilayers. Eleven samples deposited at UF were analyzed via TEM at MCNC. Construction of the ion beam sputter deposition system is nearing completion at MCNC. The system will be used for depositing magnetic multilayers, properties of which will be compared with properties of the same materials deposited by rf magnetron sputtering. II. Detailed Description of the Progress 1) Development of Liftoff Patterning Techniques for Magnetic Multilayers

27 Motivation Patterning techniques being developed at MCNC target pattern dimensions on the submicron and micron scale. Although the focus of the overall program is on nanoscale-size patterns, in order to understand magnetic and electrical properties of such nanostructures one needs to examine a continuum of materials: from blanket films through micron and submicron patterns to the nanoscale features. In addition, the ability to pattern GMR materials on the submicron scale is critical for applications of the films in the area of sensors and nonvolatile magnetic memory. The design rules used currently in the device development are at a mark of a several micrometers. This limit is imposed by the use of wet etching techniques for patterning. In order to fabricate devices with submicrometer design rules one needs to employ either dry etching or liftoff techniques. The advantage of the latter is that the process is generic with respect to the material being patterned, so the same lithographic process can be used for all components of the multilayers. High Resolution Liftoff In lift-off, an inverse pattern is first formed in a sacrificial layer deposited on a substrate, using lithographic techniques. Next, the metal film is deposited over the layer and in the openings of the pattern. Those portions of the metal film which are deposited on the sacrificial layer are removed (lifted-off) when the substrate is immersed in a suitable solvent, leaving behind the desired metal pattern. Figures 1a and b show a Cu film which was patterned via liftoff using the high resolution process developed by MCNC. The same liftoff technique was used successfully to pattern Ta(50Å)/Ag(28Å)/NiFe(20Å)/[Ag(55Å)/NiFe(20Å)]x4/Ag(28Å)/Ta(20Å) films and Ta(50Å)/NiFe(500Å)/Ta(20Å) films deposited by rf magnetron sputtering at the University of Florida.

28 (a) (b) Figure 1. SEM micrographs of a copper film patterned by high resolution liftoff process developed at MCNC: a) plane view of the overall pattern, b) plane view of 0.6 µm lines. Figure 2. SEM micrograph of a cross section of the photoresist liftoff pattern The process utilizes a low viscosity (8 cp) negative resist manufactured by JSR, Inc. under the trade name of NFR016D2. Following a 130 C hot plate bake and an HMDS vapor prime of silicon substrates, the resist is spun on the substrates to a thickness of about 1 µm. Coated wafers receive a 90 C 60 sec. hot plate soft bake. Wafers are exposed using the I-line stepper (wavelength of 365 nm; expected resolution of 0.6 µm). Following a 90 C post-exposure bake to facilitate reactions in the exposed photoresist, wafers are developed for 60 sec. in the PD523D developer. The process described above results in a pattern in the photoresist, a cross section of which is shown in the SEM micrograph in Figure 2. As seen in the micrograph, the sidewalls of the photoresist pattern have a negative slope which is critical for successful lifting off of metal layers which are deposited on top of the pattern.

29 Reticle Design Sensors SQUID Sample SQUID Sample SQUID Sample Memory Cells SQUID Sample Fig. 3. Diagram of the first level of the reticle set for patterning by liftoff. The reticle which was used to obtain patterns shown in Figures 1 and 2 was chosen from reticles already in MCNC s possession, and, although it served well the purpose of testing the high resolution liftoff process, it was not optimal for examination of magnetic and magnetotransport properties patterned multilayers. We have therefore, together with the University of Florida, designed a new reticle set specifically for investigating properties of patterned GMR materials and devices. A schematic diagram of the first level of this reticle set is shown in Figure 3. The 4x4 array in the middle of the die depicted in Figure 3 contains lines with widths varying from 0.5 µm to 20 µm, as shown in the appropriate insert in Fig. 3. The line length in each element of the array is 500 µm, and the spacing between the lines is 3 times of the line width. These structures will be used for magnetotransport measurements, and will allow for examination of magnetoresistance of GMR materials as a function of the pattern dimension. For these measurements, the lines will be shorted by metal pads patterned using the next reticle level, not shown in Fig. 3. The four rectangular samples, marked SQUID Sample in Fig. 3, will be used for measurements of magnetization as a function of external magnetic field and temperature. As shown in the magnified insert, these regions also contain lines; the line length is again 500 µm and the spacing between the lines is 3 times of the line width. The line width is different for each of the SQUID samples, and is equal to 0.5 µm, 0.8 µm, 1.2 µm or 2.2 µm. In addition to the level shown in Figure 3, the reticle set contains three other levels which will be used for patterning of contact metal layers using single and dual level contact metallization schemes. The reticle set was fabricated by DuPont Photomasks, Inc. and was delivered to MCNC in August A fabrication run utilizing the new reticle set is in progress. Wafers with the new liftoff pattern will be delivered to UF by the end of August. 2) Development of Techniques for Characterization of Magnetic Multilayers.

30 MCNC has developed capability in transmission electron microscopy of magnetic multilayers. The multilayer structures generally have layer thicknesses ranging from nm with the critical constituent layers being nm thick. These dimensions make TEM a valuable tool for studying the atomic-scale structure of the layers and delineating interface characteristics. Figure 4. TEM micrograph of a multilayer stack Fe(50Å)/[Co(15Å)/Cu(20Å)]x20/Cu(30Å) deposited by sputtering. The image was recorded with µm defocus to enhance the phase contrast. One unit in the scale visible in the Figure represents 2 nm. Two methods of sample preparation were used for TEM analyses at MCNC. One was dimpling of the examined film followed by argon ion milling. The other was based on focused ion beam (FIB) milling. The FIB method allows for analyzing specific locations on a sample. This is particularly useful when analyzing patterned layers. It was found that the FIB method was at least as good in terms of the quality of the sample preparation as the dimpling-based method; in some cases the quality of images obtained using FIB samples was even better than when using the dimpled films. As an example, Figure 4 shows a TEM image obtained from a sample of a Fe(50Å)/[Co(15Å)/Cu(20Å)]x20/Cu(30Å) film deposited on a silicon substrate. The sample was prepared for TEM by the FIB method. Since Co and Cu, with atomic numbers of 27 and 29, respectively, are close neighbors in the Periodic Table of Elements, there is little compositional contrast. However, when the TEM image is recorded at underfocus, as shown in Fig. 4, the layers are clearly delineated due to the phase contrast between Co and Cu layers. The ability to bring out the phase contrast allows for examination of the roughness and waviness of the individual layers, which is an important microstructural characteristic impacting magnetotransport properties of GMR materials.

31 3) Development of Ion Beam Sputtering Technique for Deposition of Magnetic Multilayer Structures Motivation Ion beam sputtering deposition (IBSD) is a promising technique for formation of GMR materials as it offers a greater control over the microstructure of the films than rf or dc sputtering techniques. Microstructural control might be critical to understanding magnetic and electrical properties of the GMR multilayers due to the atomic scale of the thin films involved. We are planning to investigate properties of selected GMR materials deposited by the IBSD technique, and compare them with properties of the same materials formed by rf magnetron sputtering at the University of Florida. IBSD System The IBSD system is currently under construction at MCNC. Figure 5 shows a schematic diagram of the equipment. The main vacuum chamber is connected to a turbomolecular vacuum pump capable of bringing the pressure in the chamber down to the lx10-8 Torr range. The pressure during deposition is expected to be ~1x10-4 Torr. Specimen multilayers will be deposited on Si (100) wafer substrates from Xe + ion bombardment of metal targets using an rf ion source. The rotating target holder has the capacity for four 5 targets. The holder is motorized and its movement is controlled via computer. The substrates can also be rotated to enhance uniformity of the deposition. The IBSD system is equipped with a load lock which will be used to exchange substrates without breaking vacuum, thus avoiding long pump down times between film growth experiments. The load lock is equipped with a turbo pump and capable of base pressure in the 2x10-7 Torr range. The IBSD system has been assembled, and the vacuum chamber pressure of below 1x10-7 Torr has been achieved. We are currently installing the rf matching network and gas lines for the ion gun. We are planning to begin sputter deposition qualification in September 1997.

32 Turbo Pump Load Lock Substrate Heater Rotating Target Holder Turbo Pump RF Ion Gun Fig. 5. Schematic diagram of the IBSD system III. Plans for the Next Reporting Period Continue to support University of Florida by providing high resolution liftoff patterns for patterning of magnetic multilayers Provide TEM analyses of multilayer magnetic films Complete construction of the IBSD system and begin development of sputter deposition processes for selected magnetic multilayers Together with University of Florida, conduct a comparative study of properties of magnetic multilayers deposited by ion beam sputtering and rf sputtering. Examine effects of the process-specific microstructure on magnetic and magnetotransport behavior of the materials Optimize processes for formation of contacts to patterned magnetic multilayers using single and dual level metallization schemes

33 Nanostructured Display Materials P.H. Holloway, University of Florida During the past year, we have continued our research on optical emission from large bandgap compound semiconductors whose size and geometry has been engineered to vary from the nanometer to micrometer range, and from powder particles to thin films. This effort is aimed at understanding the factors controlling the perceived optical brightness from powder versus thin film solids, and the effects of grain and/or particle size for powders versus thin films. Ultimately, we will study the emission from nanometer size grains/particles in which quantum size effects will be expected and controlled. We have also developed a significant activity in self-consistentfield molecular orbital-configuration interaction modeling of the optical transitions from the semiconductors doped with rare earth activators. The objectives of this effort is to understand the effects of ligand fields, crystal fields and point defects upon optical emission energies and intensities, and to "defect or alloy engineer" the emission from the solids. The results of these studies are presented below. The efforts to engineer thin films of Y 2 O 3 :Eu for greater brightness has continued by studies of pulsed-laser deposition (PLD) of films onto either bare Si or diamond coated Si wafers. The microstructure and surface morphology of the deposited films were examined after deposition using both scanning electron microscopy (SEM) and atomic force microscopy (AFM). For films on bare polished Si wafers, the Y 2 O 3 :Eu films consisted of crystallites about 100 nm and 150 nm in diameter after deposition at 500 or 700 C, respectively. On hot filament CVD diamond coated (»2.5 µm thick) Si wafers, the Y 2 O 3 :Eu crystallite size was larger (150 nm and 300 nm at 500 and 700 C deposition temperature, respectively) and in addition the nodular growth of the diamond on the Si led to a secondary nodular morphology with dimensions of about 3 mm. The brightness from as deposited films increased as the deposition temperature was increased from 200 to 700 C, as shown in Fig. 1. Also shown in Fig. 1, at 700 C the films exhibited photoluminescent intensities twice that from smooth Si substrates and intensities equal to 80% that of a monolayer powder particle film of equal thickness. PLD films on sapphire which were post annealed at 1200 C were tested for brightness under cathodoluminescence conditions, and were five times brighter than any previous sample examined, including films and screened Y 2 O 3 :Eu powders. At an excitation energy of 7 KV and current of 5 µa, a brightness of 7000 cd/m 2 was measured. At the same voltage and a current of 50 µa, the brightness was 15,000 cd/m 2 suggesting that some thermal quenching of luminescence was occurring. However even after irradiation for 30 minutes with a beam size of 3 mm, no degradation of emitted intensity was detectable. All of these data indicate that optical scattering in a thin film is extremely critical to the perceived brightness from optical emission, and the film geometry must be controlled in order to increase the brightness.

34 Fig.1. Photoluminescent intensity from Y 2 O 3 :Eu films on bare or diamond-coated Si wafers versus deposition temperature. With respect to theoretical modeling of optical transitions in wide bandgap compound semiconductors, the effect that different alkaline earth sulfide (AES) host materials have on the Ce +3 5d 4f optical transitions was investigated using both crystal field and self-consistent-field molecular orbital-configuration interaction (SCF/CI) techniques. The details of each model were discussed and their results compared for MgS:Ce, CaS:Ce, SrS:Ce and BaS:Ce. The results from crystal field theory did not match well with experimental data for BaS:Ce without introducing factors to account for non-ideal lattice and phase behavior. The predictions from SCF/CI were in excellent agreement with the experimental data from these samples, as shown in Table 1. Even the red shift of the spectroscopy from BaS:Ce relative to that of SrS:Ce was correctly predicted. In addition, calculated oscillator strengths of the electronic transitions in SrS:Ce and BaS:Ce were fit with Gaussian shapes to model the vibronic structure. Both the absorption and emission spectra were generated and were found to be in good agreement with experimental spectra. A population analysis of the configuration interaction states indicates that the trend in emission energies is caused by differing extents of covalency experienced by the Ce 5d orbitals in the various host matrices. Table I: Calculated and Experimental electron energy levels for Ce in sulfide hosts of MgS, CaS, SrS or BaS.

35 Etching of Flat Panel Display Materials S.J. Pearton, University of Florida P. Holloway, University of Florida Thin film electroluminescent (EL) displays occupy a small sector of the total flat panel display market relative to non-emissive devices such as those based on liquid crystals, but potentially offer advantages with respect to broader operational temperatures. A typical thin film electroluminescent device has a metal-insulator-semiconductor-insulator-metal (MISIM) structure in which the phosphor layer is sandwiched between two dielectric layers, which in turn are sandwiched by two conductive layers. The phosphor layer emits light when a sufficiently high electric field strength (typically 2MV cm -1 ) is maintained across it. Typical materials are ZnS (doped with Mn for red emission or Tb for green) and SrS (doped with Ce for blue emission). The insulating layers on either side of the phosphor act as current limiters - typical materials are Al 2 O 3 and alumina/titania. Finally, the transparent conducting electrode material is generally indium tin oxide (or ITO), In 2 O 3 for the viewing side, with metal electrodes such as TiW or Al on the other side of the EL device or panel. A number of recent reports have appeared on reactive ion etching of materials for flat panel displays. SnO 2 and ITO have been etched with CH 4 /H 2, HBr, Cl 2 /Ar and HI, while aluminum oxide was etched in CF 4 /O 2. Kuo has given a review of plasma processing of a-si:h, ITO, TaO x and glass substrates for active matrix LCD-type displays. It is apparent that improved dry etch processes are necessary for volume production of the various types of displays. High ion density plasma sources such as inductively coupled plasma (ICP), helicon and electron cyclotron resonance (ECR) offer near-independent control of ion density and energy and hence a wider range of processing conditions than conventional capacitively coupled reactive ion etch (RIE) systems. Some promising results on application of high density plasmas have already appeared, mainly directed at amorphous Si thin film transistor fabrication. Figure 1 (top) shows etch rates as a function of Cl 2 percentage (by flow) in Cl 2 /Ar discharges (800W microwave power, 150W rf power, 1.5 mtorr). There is a degree of chemical enhancement for all the materials, as evidenced by the increases in the rate as the discharge composition changes from pure Ar to pure Cl 2. The general drawbacks of pure Ar sputtering for pattern transfer include poor selectivity with respect to mask materials and redeposition onto feature sidewalls. Similar etch rate data is shown for BCl 3 /Ar discharges at the bottom of Figure 1 - the same basic trend of a small chemical enhancement with increasing BCl 3 percentage is found as for Cl 2 /Ar, with the overall etch rates for TiW and ZnS lower than with the latter chemistry.

36 Figure 1. Etch rates of display materials as a function of discharge composition in (top) Cl 2 /Ar or (bottom) BCl 3 /Ar plasmas (800W microwave power, 150W rf power 1.5 mtorr pressure). As microwave power and hence ion density increases in the Cl 2 /Ar discharges, there is again a general trend of increased etch rates although the increased plasma conductivity does reduce chuck dc self-bias and at the highest microwave powers this reduction in average ion energy reduces the removal rate of ATO, ZnS and ITO. This suggests the etch rates of these materials are still desorption-limited at 1000W ECR power under our conditions. The CH 4 /H 2 chemistry is attractive because it is not corrosive and does not require gas cabinets for storage of the cylinders, but it provides significant etch rates only for SrS and ZnS (Figure 2, top). The etch products in these cases are probably metalorganic strontium and zinc species, plus H 2 S, i.e. the reverse of their metalorganic growth chemistries. From extensive work on III-V and II-VI semiconductor etching with CH 4 /H 2 it is well established that a significant degree of ion bombardment is necessary for efficient removal rates, as shown by a comparison of N 2 addition (Figure 2, bottom) to that of the heavier Ar. Note that for this chemistry relatively high rf chuck powers (250W) were necessary relative to the chlorine-based discharges (150W).

37 Figure 2. Etch rates as a function of microwave source power in (top) CH 4 /H 2 /Ar or (bottom) CH 4 /H 2 /N 2 plasmas (250W rf chuck power, 1.5 mtorr). The other non-corrosive plasma chemistry is SF 6 /Ar. Once again a relatively high rf chuck power is required to enhance sputter desorption of the etch products, and the rates for all materials increase with both source power (ion flux) and rf power (ion energy). Both parameters are important in determining the ultimate etch rate in this chemistry. Not surprisingly since AlF 3 and InF 3 have low vapor pressures (melting points of 1291 o C and 1170 o C respectively), the etch rates of ATO, ITO and Al 2 O 3 are the lowest among the materials at high process pressures, most likely due to increased collisional frequencies and an associated decrease in average ion energy. The removal rates initially increase with pressure for ZnS, TiW, Al 2 O 3 and ATO as more active fluorine radicals are supplied to the surface. These trends are typically of ECR etch processes. A number of different plasma chemistries have been investigated for dry etching of the typical materials used in electroluminescent display devices. Since a typical MISIM structure has a thickness of µm, and typical average etch rates at 800W microwave and W rf power are Å min -1 for all the materials in the chemistry we investigated, process times to etch a complete stack should be in the range mins. It is likely that a sequence of etch chemistries, tailored to the particular component layers comprising the stack, is the best approach for minimizing etch time. In-situ monitoring techniques such as laser reflectometry, optical

38 emission spectroscopy or mass spectrometry could be used to measure progress through the multi-layered structure. In general the chemical enhancements observed relative to pure Ar sputtering are modest in the materials investigated here because of the high average bond energies and low volatilities of such of the etch products. It is necessary to have both a high ion flux and a reasonable ion energy ( 125eV) in order to achieve practical etch rates with materials such as ATO, Al 2 O 3 and SrS, and thus high density plasmas appear well-suited to patterning of electroluminescent display devices incorporating these materials

39 Nano-crystalline Silicon R.E. Hummel, University of Florida Spark-processing of silicon has been shown to generate a strongly luminescing, stable, and fast-responding substance. The occurrence and properties of photoluminescence (PL) and cathodoluminescence (CL) bands have been documented in our first progress report. A comprehensive compilation about preparation, luminescing properties, and applicational aspects of spark-processed silicon (sp-si) can be found in the publications list. During the past 12 months detailed investigations were performed with the goal to distinguish between several possible mechanisms which may be the cause for the intense radiative transitions at room temperature in sp-si. Specifically, the microstructure, which consists of an amorphous silicon oxide and oxynitride matrix with imbedded silicon nanoparticles, was under investigation to probe a possible relationship between the presence and size of nanoparticles and PL emission wavelengths. Spatially resolved Raman spectra were measured across spark-processed regions by scanning several areas which varied in PL intensity but not in wavelength. The shifts and broadenings of the Raman signals indicate the presence of Si particles having diameters of about 15 nm in the central, photoluminescing section, while slightly smaller Si particles (d<8 nm) exist in the surrounding, optically inactive halo region. Furthermore, the Raman signals are essentially identical for UV/blue and green luminescing sp- Si. These results suggest that the PL of sp-si is not caused by a quantum-size effect which is coupled to the presence and size-distribution of nanoparticles. Based on these results, a different series of experiments was conducted with the goal to evaluate a possible chemical / structural nature of the radiative centers in sp-si. The preparation of sp-si was performed in air, pure oxygen, and pure nitrogen atmospheres as well as in various mixtures of both gases. Depending on the exact conditions during the preparation, five different PL bands can be distinguished. Three of them can be related to defect structures in damaged silicon oxide matrixes. The two most intense PL bands (UV/blue and green emissions), which are only present when the preparation is performed in the presence of both oxygen and nitrogen, see Figure 1 below, could not be traced to known radiative centers. However, preliminary theoretical calculations indicate that a molecular structure consisting of an over-coordinated Si atom bonded to four O atoms and an additional N 2 molecule may account for the unusual optical properties of sp-si. Further calculations and comparisons with experimental data are in progress. In summarizing these data, it can be stated that the luminescing properties of sp-si cannot be related immediately to the presence of Si nanoparticles or to a quantum-dot derived PL mechanism as previously assumed. It is instead proposed that the two most intense PL bands

40 stem from radiative transitions within a specific chemical configuration, consisting of silicon, nitrogen, and oxygen. The generation of this particular structure needs an extremely high energy input which explains why the method of spark-processing is of advantage where others (such as CVD or sputtering) cannot compete. Further, ongoing experiments are directed toward a detailed characterization of the configuration and properties of the above-mentioned molecular structures. Concurrently, we have initiated a series of experiments to sputter-deposit thin films utilizing spark-processed sputter targets. Our intention is to fabricate a thin layer which inherits the specific molecular structure and is suitable for thin-film electroluminescing device applications. Fig.1: Photoluminescence intensities of sp-si prepared in various ratios of oxygen and nitrogen atmospheres.

41 Nanostructures and Magnetic Particles R.C. Dynes, F. Hellman, I.K. Schuller University of California - San Diego In the past year the efforts towards growth and fabrication of magnetic an superconducting nanostructures have resulted in two publications (submitted) and enhanced abilities. This is a continuation of our efforts to investigate the basic physics and applications of nonmagnetic and superconducting particles and the effect of coupling between metals, superconductors and magnetic materials. 1) Superconducting Nanostructures In a study of small granular superconductors, we have performed a transport study of granular superconducting films with lateral dimensions as small as 1000Å and typical grain sizes of 100Å. On average then, the film is only about 10 grains in width and the effects of transport in this finite granular array are substantial. The IV characteristics of these sample are hysteretic and exhibit sharp discontinuous jumps. These features are due to the local superconducting phase coherence between grains. Furthermore, we observe a rich profile of conductance fluctuations with amplitudes that scale with the conductivity. These features stem from interference of the superconductive wave function modulated by external magnetic field or the self flux from the current passing through the random granular network. With increasing size of structure, these effects disappear and are clearly caused by the small and finite number of current paths through such a device. A preprint (submitted) is attached. Novel Electron beam lithography techniques allow preparation of structures with characteristic sizes which are comparable to characteristic sizes important for physical phenomena, such as the penetration depth, coherence length, or magnetic domain size. We have developed in our laboratory these types of techniques, to prepare a variety of submicron magnetic dot arrays, in different geometric configurations. To study the physical properties of these arrays we have covered them by a superconductor (Nb). In this fashion, we were able to observe a matching effect between magnetic dot array and the vortex lattice. The effects observed are considerably enhanced when compared to earlier observations with much larger (above 1 micron) magnetic dots or holes. We are presently comparing the behavior of identical structures of holes and magnetic dots to understand the effect of magnetism on this matching. 2) Magnetic Materials and Structures a) Structures We wish to study interactions between pairs of small magnetic particles. The question of how adjacent particles influence each others magnetic state through interactions is an interesting one. We anticipate substantial shape dependence in the interactions. Circular particles should

42 respond substantially differently from ellipsoidal ones. To study these effects, we have constructed microscopic magnetic field sensors. Using e-beam lithography we have fabricated a Pb SQUID with a pair of weak links in parallel. (See Figure 1.) The weak links act as Josephson junctions in a SQUID loop. The SQUIDS fabricated have a loop area of 25µm 2. The next step is to deposit particles inside these loops and study their magnetic moments and dynamics. Fig.1: Fabricated Pb superconducting Quantum Interference Device (SQUID) b) Magnetic Materials We have been working on understanding the nature of the proximity effect in magnetic materials. This is an effect that is well understood in superconducting materials and has led there to practical devices as well as interesting physics. In magnetism, the effect is less well understood and is generally believed to be extremely local. However, it is clear that in metals which are nearly magnetic, such as Pd or Pt, this length scale will be much longer. As little as 1% Co in Pt leads to a ferromagnetic Curie temperature of over 5K, indicative of the ability of Co to induce magnetism in Pt on a relatively long length. It is also well known that spin diffusion lengths in clean materials (e.g. Cu) can be tremendously long (microns). Finally, in giant magnetoresistive devices, the coupling between the magnetic layers depends strongly on the nature of the intervening non-magnetic material. The nature of the induced polarization in the non-magnetic material, and its length scale is thus relevant in several areas. We have prepared epitaxial single crystal bilayers of Co-Pt alloys in various combinations of concentrations (hence of various combinations of T c ). Except for the most Co-rich specimens, these all can easily be grown in our UHV evaporation system in the fcc structure as a solid solution (chemically disordered) epitaxial alloy. In-situ RHEED and (in the future) Auger analysis plus ex-situ X-ray analysis have and will be done to characterize the structure and chemistry of these films. Polarized neutron reflectometry has been done on one set of bilayers, showing the magnetization profile as a function of depth in the sample. Analysis is still incomplete, but it is already clear that in the lower T c material, above its T c there is significant induced polarization form the higher T c material. That is, there is a significant ferromagnetic proximity effect. More

43 analysis of data is needed before additional conclusions can be reached, such as the length scale. Careful magnetization and specific heat measurements are planned for the future. We also will then investigate materials such as Copper, where understanding the role of the proximity effect may lead to better magnetoresistive devices, or at least better understanding of the physics of the existing devices. The basic question ot be answered is: how does this length scale and the magnitude of the ferromagnetic proximity effect depend on the properties of the two materials.

44 Magnetic Nano-Particles S. Von Molnar, Florida State University Magnetic Nano-Particles S. von Molnár, Florida State University 1) STM fabrication of nanometer-scale iron particles Arrays of ferromagnetic iron particles have been fabricated by a combination of chemical vapor deposition and scanning tunneling microscopy (STM). The quality of the grown particle and its size is controlled through the growth parameters. These parameters, which include the precursor pressure of Fe(CO) 5 inside the UHV chamber of the STM and the lithography bias voltage, have been refined continuously. The morphology of the grown particle arrays has been investigated by SEM and AFM and their magnetic properties by MFM, both in house and at U.C. Santa Barbara (in collaboration with D. Awschalom). An example of an array consisting of 500 dots is shown in Fig. 1. This array has been grown onto a Hall gradiometer made from a GaAs/GaAlAs two-dimensional electron system. Magnetic characterization using the Hall signal produced by the magnetic structure is currently in progress. Fig. 1. SEM migrograph of an array of 20 x 25 iron dots (approx nm diam., 150 nm height). The underlying Hall bar was prepared by wet etching of a GaAs/GaAlAs two-dimensional electron system enabling magnetization measurements. 2) Focused Ion Beam micromachining and imaging The installation of a Focused Ion Beam (FIB) column in an ultra-high vacuum chamber has been completed and preliminary experiments to image and micromachine samples have commenced. This equipment will be used primarily for patterning of thin films. The sample, mounted on a high-precision home-made stage, is exposed to a high energy Ga ion beam. In Fig. 2 an AFM image is presented showing the effect of the ion beam (25 kv beam voltage, I 100 pa, exposure 5 min) on a GaAs sample. A rectangular hollow (depth approx. 50 nm) has been produced. Micromachining can be performed by scanning the FIB inside a smaller area as seen in the upper right corner of Fig. 2 where an additional hollow (0.8 µm x 0.8 µm, exposure 20 s) has been sputtered. Redeposition effects can be seen around this additional hollow. Experiments aiming toward a more precise determination of the system parameters and of sputter yield of LaMnO thin films are presently in progress.

45 Fig. 2. AFM image of a GaAs sample showing the sputter effect of the FIB. Note the darker area near the upper right corner of the sample image where micromachining has been performed. 3) Novel measurement devices and techniques Over sufficiently small (<10 micron) distances, and at sufficiently low temperatures (<10 K), transport in high mobility two-dimensional electron systems occurs ballistically; i.e., the electrons do not undergo scattering over these distances. Hence, their path can be predictably influenced by applying a magnetic field perpendicular to their plane of motion. In the present application, the deflection in the electron's path is used in two ways: 1) a homogeneous externally applied magnetic field steers the electron beam toward a contact where its presence can be probed, and 2) the influence of a local and inhomogeneous field due to a small magnetic structure can be discerned by the perturbation it causes in the electron path. In the lower structure (see micrograph, Fig. 3B) electrons are injected through one narrow opening, and the applied homogeneous magnetic field deflects them by 180 degrees so they impinge on an adjacent opening. This opening then develops a voltage that is a substantial fraction of the injection voltage and which can thus be easily measured (see measured data, Fig. 4; the periodicity in the signal is due to specular reflection of the electrons off the barrier separating the two openings).this voltage changes if the semicircular electron trajectory is deformed by the presence of the fringing field of a small magnetic structure located close to the electron path. The upper structure (Fig. 3A) operates on a similar principle, the difference lying in the 360 degree deflection between injection and detection. This allows one to position the magnetic particle in a location where the electron current density is high (see trajectory simulations). Electron beam lithography is necessary to produce these structures as the dimensions are small (Fig. 3) and tolerances tight. The base material was an MBE-grown GaAs/AlGaAs heterostructure (obtained from QUEST, U.C. Santa Barbara) with a mean free path of 13 micron at temperatures below 5 K. After electron beam lithography (carried out at the U. of Florida with F. Sharifi), the material was gently wet-etched to produce the insulating barriers.

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

Progress Report #4 September 1, 1998 August 31, Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F

Progress Report #4 September 1, 1998 August 31, Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F Progress Report #4 September 1, 1998 August 31, 1999 Nanoscale Devices and Novel Engineered Materials DOD/AFOSR MURI Grant Number F49620-96-1-0026 Prepared by: S.J. Pearton Department of Materials Science

More information

Ferromagnetism and Electronic Transport. Ordinary magnetoresistance (OMR)

Ferromagnetism and Electronic Transport. Ordinary magnetoresistance (OMR) Ferromagnetism and Electronic Transport There are a number of effects that couple magnetization to electrical resistance. These include: Ordinary magnetoresistance (OMR) Anisotropic magnetoresistance (AMR)

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Giant Magnetoresistance

Giant Magnetoresistance Giant Magnetoresistance This is a phenomenon that produces a large change in the resistance of certain materials as a magnetic field is applied. It is described as Giant because the observed effect is

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Giant Magnetoresistance

Giant Magnetoresistance Giant Magnetoresistance N. Shirato urse: Solid State Physics 2, Spring 2010, Instructor: Dr. Elbio Dagotto Department of Materials Science and Engineering, University of Tennessee, Knoxville, TN 37996

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Influence of Size on the Properties of Materials

Influence of Size on the Properties of Materials Influence of Size on the Properties of Materials M. J. O Shea Kansas State University mjoshea@phys.ksu.edu If you cannot get the papers connected to this work, please e-mail me for a copy 1. General Introduction

More information

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies B. Özyilmaz a, G. Richter, N. Müsgens, M. Fraune, M. Hawraneck, B. Beschoten b, and G. Güntherodt Physikalisches

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures F. Amet, 1 J. R. Williams, 2 A. G. F. Garcia, 2 M. Yankowitz, 2 K.Watanabe, 3 T.Taniguchi, 3 and D. Goldhaber-Gordon

More information

Artificially layered structures

Artificially layered structures http://accessscience.com/popup.ap x?id=053450&name=print Close Window ENCYCLOPEDIA ARTICLE Artificially layered structures Manufactured, reproducibly layered structures having layer thicknesses approaching

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

The exchange interaction between FM and AFM materials

The exchange interaction between FM and AFM materials Chapter 1 The exchange interaction between FM and AFM materials When the ferromagnetic (FM) materials are contacted with antiferromagnetic (AFM) materials, the magnetic properties of FM materials are drastically

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Neutron Reflectometry of Ferromagnetic Arrays

Neutron Reflectometry of Ferromagnetic Arrays Neutron Reflectometry of Ferromagnetic Arrays Z.Y. Zhao a, P. Mani a, V.V.Krishnamurthy a, W.-T. Lee b, F. Klose b, and G.J. Mankey a a Center for Materials for Information Technology and Department of

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Mon., Feb. 04 & Wed., Feb. 06, A few more instructive slides related to GMR and GMR sensors

Mon., Feb. 04 & Wed., Feb. 06, A few more instructive slides related to GMR and GMR sensors Mon., Feb. 04 & Wed., Feb. 06, 2013 A few more instructive slides related to GMR and GMR sensors Oscillating sign of Interlayer Exchange Coupling between two FM films separated by Ruthenium spacers of

More information

MAGNETORESISTANCE PHENOMENA IN MAGNETIC MATERIALS AND DEVICES. J. M. De Teresa

MAGNETORESISTANCE PHENOMENA IN MAGNETIC MATERIALS AND DEVICES. J. M. De Teresa MAGNETORESISTANCE PHENOMENA IN MAGNETIC MATERIALS AND DEVICES J. M. De Teresa Instituto de Ciencia de Materiales de Aragón, Universidad de Zaragoza-CSIC, Facultad de Ciencias, 50009 Zaragoza, Spain. E-mail:

More information

μ (vector) = magnetic dipole moment (not to be confused with the permeability μ). Magnetism Electromagnetic Fields in a Solid

μ (vector) = magnetic dipole moment (not to be confused with the permeability μ). Magnetism Electromagnetic Fields in a Solid Magnetism Electromagnetic Fields in a Solid SI units cgs (Gaussian) units Total magnetic field: B = μ 0 (H + M) = μ μ 0 H B = H + 4π M = μ H Total electric field: E = 1/ε 0 (D P) = 1/εε 0 D E = D 4π P

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films

Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films Ž. Applied Surface Science 140 1999 215 222 Interhalogen plasma chemistries for dry etch patterning of Ni, Fe, NiFe and NiFeCo thin films H. Cho a,), K.B. Jung a, D.C. Hays a, Y.B. Hahn a,1, E.S. Lambers

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

High T C copper oxide superconductors and CMR:

High T C copper oxide superconductors and CMR: High T C copper oxide superconductors and CMR: Ram Seshadri (seshadri@mrl.ucsb.edu) The Ruddlesden-Popper phases: Ruddlesden-Popper phases are intergrowths of perovskite slabs with rock salt slabs. First

More information

Magnon-drag thermopile

Magnon-drag thermopile Magnon-drag thermopile I. DEVICE FABRICATION AND CHARACTERIZATION Our devices consist of a large number of pairs of permalloy (NiFe) wires (30 nm wide, 20 nm thick and 5 µm long) connected in a zigzag

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Giant Magnetoresistance

Giant Magnetoresistance Giant Magnetoresistance Zachary Barnett Course: Solid State II; Instructor: Elbio Dagotto; Semester: Spring 2008 Physics Department, University of Tennessee (Dated: February 24, 2008) This paper briefly

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:.38/nature09979 I. Graphene material growth and transistor fabrication Top-gated graphene RF transistors were fabricated based on chemical vapor deposition (CVD) grown graphene on copper (Cu). Cu foil

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

P. Khatua IIT Kanpur. D. Temple MCNC, Electronic Technologies. A. K. Majumdar, S. N. Bose National Centre for Basic Sciences, Kolkata

P. Khatua IIT Kanpur. D. Temple MCNC, Electronic Technologies. A. K. Majumdar, S. N. Bose National Centre for Basic Sciences, Kolkata The scaling law and its universality in the anomalous Hall effect of giant magnetoresistive Fe/Cr multilayers A. K. Majumdar S. N. Bose National Centre for Basic Sciences, Kolkata & Department of Physics

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Mater. Res. Soc. Symp. Proc. Vol. 1044 2008 Materials Research Society 1044-U10-06 Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Gehong Zeng 1, Je-Hyeong

More information

introduction: what is spin-electronics?

introduction: what is spin-electronics? Spin-dependent transport in layered magnetic metals Patrick Bruno Max-Planck-Institut für Mikrostrukturphysik, Halle, Germany Summary: introduction: what is spin-electronics giant magnetoresistance (GMR)

More information

Solid Surfaces, Interfaces and Thin Films

Solid Surfaces, Interfaces and Thin Films Hans Lüth Solid Surfaces, Interfaces and Thin Films Fifth Edition With 427 Figures.2e Springer Contents 1 Surface and Interface Physics: Its Definition and Importance... 1 Panel I: Ultrahigh Vacuum (UHV)

More information

Magnetically Engineered Spintronic Sensors and Memory

Magnetically Engineered Spintronic Sensors and Memory Magnetically Engineered Spintronic Sensors and Memory STUART PARKIN, SENIOR MEMBER, IEEE, XIN JIANG, CHRISTIAN KAISER, ALEX PANCHULA, KEVIN ROCHE, AND MAHESH SAMANT Invited Paper The discovery of enhanced

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures MSE 6001, Semiconductor Materials Lectures Fall 2006 3 Semiconductor Heterostructures A semiconductor crystal made out of more

More information

The Physics of Ferromagnetism

The Physics of Ferromagnetism Terunobu Miyazaki Hanmin Jin The Physics of Ferromagnetism Springer Contents Part I Foundation of Magnetism 1 Basis of Magnetism 3 1.1 Basic Magnetic Laws and Magnetic Quantities 3 1.1.1 Basic Laws of

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Extraordinary Hall effect in Fe-Cr giant magnetoresistive multilayers

Extraordinary Hall effect in Fe-Cr giant magnetoresistive multilayers PHYSICAL REVIEW B 68, 144405 2003 Extraordinary Hall effect in Fe-Cr giant magnetoresistive multilayers P. Khatua and A. K. Majumdar* Department of Physics, Indian Institute of Technology, Kanpur - 208016,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

The first three categories are considered a bottom-up approach while lithography is a topdown

The first three categories are considered a bottom-up approach while lithography is a topdown Nanowires and Nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. The common characteristic of these structures is that all they

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information