On Potential Design Impacts of Electromigration Awareness

Size: px
Start display at page:

Download "On Potential Design Impacts of Electromigration Awareness"

Transcription

1 On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1-

2 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -2-

3 Electromigration in Interconnects Electromigration (EM) is the gradual displacement of metal atoms in an interconnect I avg causes DC EM and affects power delivery networks I rms causes AC EM and affects clock and logic signals -3-

4 EM Lifetime EM degrades interconnect lifetime Black s Equation calculates lifetime of interconnect segment due to EM degradation t 50 = A J n ee a kt t 50 median time to failure (= log e 2 x MTTF) A* geometry-dependent constant J current density in interconnect segment n constant ( = 2) E a activation energy of metal atoms k Boltzmann s constant T temperature of the interconnect -4-

5 Parameters Affecting EM MTTF W wire Driver size Fanout J rms V dd MTTF Freq α Temp A A B Inverse relation; if A increases then B decreases B Direct relation; if A increases then B increases Design parameters Runtime parameters -5-

6 Current Density (MA/cm 2 ) Current Density (MA/cm 2 ) Why Is EM Important Now? ITRS 2011 data shows that EM will be a significant reliability issue Physical 3 design teams trade off 2.5 performance and/or resources to meet EM MTTF What values of MTTF do we really need? 2 1 In the US, people replace MTTF Cell phones every 2 years Laptops every 3 5 years Year 0 Servers every 3 7 years Devices can be designed with small EM lifetimes MTTF (years) J rms -6-

7 Examples of EM Guardband W wire Driver size Fanout J rms V dd MTTF Freq α Temp To meet EM MTTF margin at given wire width upper bound Reduce J rms reduce driver size slower circuit To meet EM MTTF margin at given performance requirement Increase W wire increase capacitance, dynamic power -7-

8 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -8-

9 To Meet EM Lifetime Requirements Three major categories of prior work EM MTTF modeling Black69 (Black s Equation) Liew89 (AC lifetime models) Lu07 and Wu12 (Joule heating) Architecture changes to mitigate EM Srinivasan04 (RAMP) Romanescu08 (core cannibalization) Synthesis and physical design (PD) techniques to reduce current density violations Dasgupta96 (limit J rms violation at synthesis) Jerke04 (limit J rms violation at PD) Lienig03 (post-route J rms fixes) -9-

10 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -10-

11 Key Idea We quantify impact of EM guardband on performance (F max ), area and power [Black s Equation] MTTF = A WH 2 e E a kt I rms 2 (I rms,limit ) 2 = (I rms,default ) 2 x MTTF default /MTTF reduced F max Area / Power Decrease MTTF reduced increase I rms,limit We study impacts on F max, area and power -11-

12 Approach We conduct two studies 1. MTTF vs. F max tradeoffs with fixed resource budget 2. MTTF vs. resources tradeoffs with fixed performance requirement Assumptions 10 years = example default EM MTTF Six testcases Report three representative (AES, DMA, JPEG) -12-

13 Key Contributions We are the first to quantify impacts of EM guardband on performance and resources by using PD flows We introduce EM slack as an accurate measure of potential performance improvements in different circuits at reduced MTTF requirements Black s Equation cannot accurately quantify the impacts of EM-awareness in circuits We study how tightness vs. looseness of timing constraints determine area and power trends at reduced MTTF Our study flow/methodology can potentially be used by architects and front-end designers to improve performance at no area cost physical designers whose levers are conventional SI and EM fixing methods -13-

14 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -14-

15 EM Slack When EM violations occur I rms,net = C load V dd α F max t rise t fall > I rms,limit Black s Equation MTTF = A WH 2 I rms 2 e E a kt Theoretical limit of I rms,net I rms,net I rms,limit MTTF default MTTFreduced Basic Concept: EM slack of a net (units: ma) EM slack,net = I rms,net I rms,limit I rms,limit MTTF default MTTF reduced 1-15-

16 Significance of EM Slack Positive EM slack potential for improved F max If EM slack > 0, a part of it can be used to increase I rms,limit by reducing MTTF (from Black s Equation), and improve F max by using SP&R knobs (e.g., gate sizing) without causing EM violations I rms,net = C load V dd α F max t rise t fall > I rms,limit -16-

17 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -17-

18 Study 1: MTTF vs. F max Study MTTF vs. F max tradeoffs given upper bounds on area, temperature and #EM violations Setup Three testcases: AES, DMA and JPEG Two technology libraries: TSMC 45GS and 65GPLUS Upper bounds temperature = 378 K area = 66% utilization #EM violations = 25 Synopsys DesignCompiler and Cadence SOC Encounter flows Thermal analysis using Hotspot -18-

19 Automated Flow to Determine F max RTL LIB SDC Synthesis (DC) NETLIST MTTF reduced AR Tech. LEF α PI LEF Place and Route (SOCE) Check constraints UTIL tar -- timing slack -- peak temperature -- UTIL eff -- #EM violations Met all constraints? Y Increase frequency N Decrease frequency Frequency tried before? N Y EXIT -19-

20 Automated Flow to Determine F max RTL LIB SDC Synthesis (DC) NETLIST MTTF reduced AR Tech. LEF α PI LEF Place and Route (SOCE) Check constraints UTIL tar -- timing slack -- peak temperature -- UTIL eff -- #EM violations Met all constraints? Y Increase frequency N Decrease frequency Frequency tried before? N Y EXIT -20-

21 Derating LEF We derate current density limits in technology Library Exchange Format (LEF) file Reduced lifetime (ratio > 1) increases J rms limit Reduced toggle rate (ratio > 1) increases J rms limit Increased maximum temperature increases J rms limit -21-

22 Automated Flow to Determine F max RTL LIB SDC Synthesis (DC) NETLIST MTTF reduced AR Tech. LEF α PI LEF Place and Route (SOCE) Check constraints UTIL tar -- timing slack -- peak temperature -- UTIL eff -- #EM violations Met all constraints? Y Increase frequency N Decrease frequency Frequency tried before? N Y EXIT -22-

23 Binary Search for F max Increase frequency by step until some constraint is violated Perform binary search between the current F and the last feasible F to find F max -23-

24 Automated Flow to Determine F max RTL LIB SDC Synthesis (DC) NETLIST MTTF reduced AR Tech. LEF α PI LEF Place and Route (SOCE) Check constraints UTIL tar -- timing slack -- peak temperature -- UTIL eff -- #EM violations Met all constraints? Y Increase frequency N Decrease frequency Frequency tried before? N Y EXIT -24-

25 Flow to Fix EM Violations Netlist from F max determination flow Group nets depending on the extent of I rms,limit violations Y return N N Timing met? Create nondefault rules (NDR) for each net group Perform timing analysis Decrease fanout Perform ECO route All EM violations fixed? N Downsize drivers Y return Y -25-

26 Automated Flow to Determine F max RTL LIB SDC Synthesis (DC) NETLIST MTTF reduced AR Tech. LEF α PI LEF Place and Route (SOCE) Check constraints UTIL tar -- timing slack -- peak temperature -- UTIL eff -- #EM violations Met all constraints? Y Increase frequency N Decrease frequency Frequency tried before? N Y EXIT -26-

27 % Increase in Performance Observation 1 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% DMA AES JPEG 45nm F max scaling is not uniform across designs and at reduced MTTF as suggested by Black s Equation F max scaling is determined by the EM slack in each design at each MTTF requirement Large F max improvements may be setup artifacts -27-

28 % of EM violations % EM slack Observation 2 90% 120% 80% 100% 70% 60% 80% 50% 60% 40% 30% 40% 20% 20% 10% DMA AES JPEG DMA AES JPEG EM slack (not timing slack) limits performance scaling 0% due 8 8 to 7 7AC 6 EM EM slack determines F max at fixed resources % of positive EM slack is usable to improve F max by reducing MTTF requirement EM violations in critical paths lead to positive EM slack -28-

29 % of target utilization EM AREA TEMP Observation 3 105% DMA AES JPEG 100% 95% 90% 85% 80% Area and temperature can be dominating constraints 75% at lower MTTF requirements Area limits F max scaling for MTTF 7 years (DMA) Area upper bounds are violated for MTTF 6 years; Temperature upper bounds are violated for MTTF 3 years -29-

30 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -30-

31 Study 2: MTTF vs. Area, Power Study MTTF vs. area and power tradeoffs at a fixed performance requirement Setup DMA at 2000 MHz (2ps slack after SP&R at 45nm) AES at 1100 MHz (1.6ps slack after SP&R at 45nm) JPEG at 850 MHz (93ps slack after SP&R at 45nm) Two technology libraries: TSMC 45GS and 65GPLUS -31-

32 Power Area (µm (mw) 2 ) Observation Large positive timing slack at MTTF = 10 years can lead to smaller area when MTTF requirement is reduced Large positive timing slack at MTTF = 10 years can lead to smaller power when MTTF requirement is reduced -32-

33 Power Area (µm (mw) 2 ) Observation Area and power can decrease as MTTF requirement is reduced for designs with loose timing constraints Small positive timing slack at MTTF = 10 years can lead to increase in area as MTTF requirement is reduced Small positive timing slack at MTTF = 10 years can lead to increase in power as MTTF requirement is reduced -33-

34 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -34-

35 Conventional EM Fixes and MTTF Study how conventional SI and EM fixing methods affect area and performance at reduced MTTF requirements. Setup Sweep MTTF from 10 years down to 1 year Apply per-net NDRs, driver downsizing and fanout reduction fixes Study using AES, JPEG and DMA testcases Two technology libraries: TSMC 45GS and 65GPLUS Insights are very instance-, technology/libraryand flow-specific -35-

36 Observation 6 3% 2.5% 2% 1.5% 1% 0.5% Fmax Area 0% AES JPEG DMA Fixing EM violations using NDRs can be effective in improving F max only till MTTF = 7 years % increase in F max is less than 5% % increase in area is ~2% -36-

37 Observation 7 3% 3.5% 3% 2.5% 2.5% 2% 2% 1.5% 1.5% 1% 1% 0.5% 0.5% 0% 0% Fmax Area Fanout Driver size NDRs can be more effective knobs to increase F max with less increase in area Fanout reductions to fix EM can increase F max by 3% at the cost of 1.86% increase in area Drive downsizing to fix EM can increase F max by 2.5% at the cost of 2% increase in area -37-

38 Outline Motivation Previous Work Our Work Preliminaries Study 1: MTTF vs. F max Study 2: MTTF vs. Area, Power Insights on Conventional EM Fixes Conclusions -38-

39 Conclusions We study and quantify potential impacts of improved EM-awareness in designs through two basic studies Our key observations Study 1: Available performance scaling (up to 80%) from MTTF reduction is dependent on EM slack Study 2: Area and power can decrease when MTTF is reduced in designs with loose timing constraints Additional studies: NDRs can be more effective in increasing performance ~5% at the cost of 2% increase in area for MTTF up to 7 years Ongoing work EM reliability requirements in multiple operating modes Combined impacts of EM and other back end of the line reliability mechanisms on interconnect lifetime -39-

40 Acknowledgments Work supported by IMPACT, SRC, NSF, Qualcomm Inc. and NXP Semiconductors -40-

41 Thank You! -41-

42 Backup -42-

43 Hotspot Setup We use Hotspot5.0 calibrated with thermal package from Qualcomm Inc. We perform two kinds of modeling Without heat spread and heat sink when profiling single block of AES, JPEG or DMA (area in µm 2 ) With heat spreader and heat sink when profiling 50x50 blocks of AES, JPEG, or DMA in an area of ~5mm 2 We get same values of temperature for a single block from both these methods -43-

Problem Formulation for Arch Sim and EM Model

Problem Formulation for Arch Sim and EM Model Problem Formulation for Arch Sim and EM Model 1 Problem Formulation 1.1 System Description The system consists of M modules, each module has L wire segments. The wire segments are routed in same or different

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Methodology From Chaos in IC Implementation

Methodology From Chaos in IC Implementation Methodology From Chaos in IC Implementation Kwangok Jeong 1 and Andrew B. Kahng 1,2 1 ECE and 2 CSE Departments, University of California at San Diego, La Jolla, CA, USA kjeong@vlsicad.ucsd.edu, abk@cs.ucsd.edu

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Abstract Tuck-Boon Chan and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

Smart Non-Default Routing for Clock Power Reduction

Smart Non-Default Routing for Clock Power Reduction Smart Non-Default Routing for Clock Power Reduction Andrew B. Kahng, Seokhyeong Kang and Hyein Lee ECE and CSE Departments, University of California at San Diego abk@ucsd.edu, shkang@vlsicad.ucsd.edu,

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty ECE Department The University of Texas at Austin Austin, TX 78703, USA ashutosh@cerc.utexas.edu David Z. Pan ECE Department The University

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects

SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects Predicted Incremental Delay in SI Mode (ps) Path Slack in Non-SI Mode (ns) SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects Andrew B. Kahng, Mulong Luo and Siddhartha

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

Design for Variability and Signoff Tips

Design for Variability and Signoff Tips Design for Variability and Signoff Tips Alexander Tetelbaum Abelite Design Automation, Walnut Creek, USA alex@abelite-da.com ABSTRACT The paper provides useful design tips and recommendations on how to

More information

An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs

An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs An Efficient Transient Thermal Simulation Methodology for Power Management IC Designs Karthik Srinivasan, Stephen Pan, Zhigang Feng, Norman Chang, Tim Pawlak ANSYS Inc., 2645 Zanker Road, San Jose, CA-95134,

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration

Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration Vivek Mishra and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Minneapolis,

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 Why Power Matters PE/EE 47, PE 57 VLSI Design I L5: Power and Designing for Low Power Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www.ece.uah.edu/~milenka

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information

Static Electromigration Analysis for Signal Interconnects

Static Electromigration Analysis for Signal Interconnects Static Electromigration Analysis for Signal Interconnects Chanhee Oh, David Blaauw*, Murat Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta** Motorola, Inc, Austin TX, *University of Michigan,

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay Estimation 2 Pass Transistors We have assumed source is grounded

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Energy Delay Optimization

Energy Delay Optimization EE M216A.:. Fall 21 Lecture 8 Energy Delay Optimization Prof. Dejan Marković ee216a@gmail.com Some Common Questions Is sizing better than V DD for energy reduction? What are the optimal values of gate

More information

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specificatio The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Lecture 12 CMOS Delay & Transient Response

Lecture 12 CMOS Delay & Transient Response EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 12 CMOS Delay & Transient Response Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations Jifeng Chen, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 6269, USA {jifeng.chen, tehrani}@engr.uconn.edu

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register

NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register Description: The NTE4035B is a 4 bit shift register in a 16 Lead DIP type package constructed with MOS P Channel an N Channel

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

MM74C73 Dual J-K Flip-Flops with Clear and Preset

MM74C73 Dual J-K Flip-Flops with Clear and Preset MM74C73 Dual J-K Flip-Flops with Clear and Preset General Description The MM74C73 dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits cotructed with N- and P-channel enhancement

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

(a) (b) Fig. 1. Transition propagation in (a) GBA mode and (b) PBA mode.

(a) (b) Fig. 1. Transition propagation in (a) GBA mode and (b) PBA mode. Using Machine Learning to Predict Path-Based Slack from Graph-Based Timing Analysis Andrew B. Kahng, Uday Mallappa, Lawrence Saul CSE and ECE Departments, UC San Diego, La Jolla, CA, USA {abk, umallapp,

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability

Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng and Jiajia Li CSE and ECE Departments, UC San Diego, La Jolla, CA 92093 {tbchan, abk,

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

INTEGRATED CIRCUITS. 74LVC138A 3-to-8 line decoder/demultiplexer; inverting. Product specification 1998 Apr 28

INTEGRATED CIRCUITS. 74LVC138A 3-to-8 line decoder/demultiplexer; inverting. Product specification 1998 Apr 28 INTEGRATED CIRCUITS -to-8 line decoder/demultiplexer; inverting 998 Apr 8 FEATURES Wide supply voltage range of. to. V In accordance with JEDEC standard no. 8-A Inputs accept voltages up to. V CMOS lower

More information

Website: ECE 260B CSE 241A Parasitic Estimation 1

Website:   ECE 260B CSE 241A Parasitic Estimation 1 ECE260B CSE241A Winter 2007 Parasitic Estimation Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Parasitic Estimation 1 Outline Parasitic Estimation Wirelength Estimation ECE 260B

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Role of Computer Experiment

Role of Computer Experiment Role of Computer Experiment Experimental World Computer Experiment Theoretical World Accumulation of factual information Checks and stimuli Ordering of factual information into logically coherent patterns

More information

A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints

A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints Ashish Hari, Sulabh Kumar Khare Accellera Systems Initiative 1 Agenda SOC implementation challenge with CDC paths

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

NTE40160B, NTE40161B NTE40162B, NTE40163B Integrated Circuit CMOS, Synchronous Programmable 4 Bit Counters

NTE40160B, NTE40161B NTE40162B, NTE40163B Integrated Circuit CMOS, Synchronous Programmable 4 Bit Counters NTE40160B, NTE40161B NTE40162B, NTE40163B Integrated Circuit CMOS, Synchronous Programmable 4Bit Counters Description: The NTE40160B (Decade w/asynchronous Clear), NTE40161B (Binary w/asynchronous Clear),

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

Standard & Canonical Forms

Standard & Canonical Forms 1 COE 202- Digital Logic Standard & Canonical Forms Dr. Abdulaziz Y. Barnawi COE Department KFUPM 2 Outline Minterms and Maxterms From truth table to Boolean expression Sum of minterms Product of Maxterms

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

Lecture 8: Logic Effort and Combinational Circuit Design

Lecture 8: Logic Effort and Combinational Circuit Design Lecture 8: Logic Effort and Combinational Circuit Design Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q Logical Effort q Delay in a Logic Gate

More information

CD4021BC 8-Stage Static Shift Register

CD4021BC 8-Stage Static Shift Register 8-Stage Static Shift Register General Description The CD4021BC is an 8-stage parallel input/serial output shift register. A parallel/serial control input enables individual JAM inputs to each of 8 stages.

More information

Efficient Selection and Analysis of Critical-Reliability Paths and Gates

Efficient Selection and Analysis of Critical-Reliability Paths and Gates Efficient Selection and Analysis of Critical-Reliability Paths and Gates Jifeng Chen, Shuo Wang, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 0669, USA {jic0900,shuo.wang,tehrani}@engr.uconn.edu

More information

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset October 1987 Revised January 1999 CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset General Description The CD4027BC dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Low Power Design Methodologies and Techniques: An Overview

Low Power Design Methodologies and Techniques: An Overview Design Design Methodologies and Techniques: An Overview Department of EE-Systems University of Southern California Los Angeles CA 90064 pedram@ceng.usc.edu Outline Introduction Analysis/Estimation Techniques

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

74LV393 Dual 4-bit binary ripple counter

74LV393 Dual 4-bit binary ripple counter INTEGRATED CIRCUITS Supersedes data of 1997 Mar 04 IC24 Data Handbook 1997 Jun 10 FEATURES Optimized for Low Voltage applications: 1.0 to.6v Accepts TTL input levels between V CC = 2.7V and V CC =.6V Typical

More information

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 10 EE141

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 10 EE141 EECS 151/251A Spring 2018 Digital Design and Integrated Circuits Instructors: Nick Weaver & John Wawrzynek Lecture 10 EE141 1 What do ASIC/FPGA Designers need to know about physics? Physics effect: Area

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Static Electromigration Analysis for On-Chip Signal Interconnects

Static Electromigration Analysis for On-Chip Signal Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 39 Static Electromigration Analysis for On-Chip Signal Interconnects David T. Blaauw, Member,

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2 Advanced Digital Integrated Circuits Lecture 11 Low Power-Low Energy Circuit Design Announcements Homework #2 due Friday, 3/3 by 5pm Midterm project reports due in two weeks - 3/7 by 5pm

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

The complexity of VLSI power-delay optimization by interconnect resizing

The complexity of VLSI power-delay optimization by interconnect resizing J Comb Optim (2012) 23:292 300 DOI 10.1007/s10878-010-9355-1 The complexity of VLSI power-delay optimization by interconnect resizing Konstantin Moiseev Avinoam Kolodny Shmuel Wimer Published online: 21

More information

Timing Analysis with Clock Skew

Timing Analysis with Clock Skew , Mark Horowitz 1, & Dean Liu 1 David_Harris@hmc.edu, {horowitz, dliu}@vlsi.stanford.edu March, 1999 Harvey Mudd College Claremont, CA 1 (with Stanford University, Stanford, CA) Outline Introduction Timing

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Tuck-Boon Chan, Kwangok Jeong and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

MM74C90 MM74C93 4-Bit Decade Counter 4-Bit Binary Counter

MM74C90 MM74C93 4-Bit Decade Counter 4-Bit Binary Counter 4-Bit Decade Counter 4-Bit Binary Counter General Description The MM74C90 decade counter and the MM74C93 binary counter and complementary MOS (CMOS) integrated circuits constructed with N- and P-channel

More information

74LVC823A 9-bit D-type flip-flop with 5-volt tolerant inputs/outputs; positive-edge trigger (3-State)

74LVC823A 9-bit D-type flip-flop with 5-volt tolerant inputs/outputs; positive-edge trigger (3-State) INTEGRATED CIRCUITS inputs/outputs; positive-edge trigger (3-State) 1998 Sep 24 FEATURES 5-volt tolerant inputs/outputs, for interfacing with 5-volt logic Supply voltage range of 2.7V to 3.6V Complies

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC6 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC6 74HC/HCT/HCU/HCMOS Logic Package Information The IC6 74HC/HCT/HCU/HCMOS

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Optimal Reliability-Constrained Overdrive Frequency Selection In Multicore Systems

Optimal Reliability-Constrained Overdrive Frequency Selection In Multicore Systems Optimal Reliability-Constrained Overdrive Frequency Selection In Multicore Systems Andrew B. Kahng and Siddhartha Nath CSE and ECE Departments, University of California at San Diego, USA {abk, sinath}@ucsd.edu

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report GaAs Schottky Diode Products 051-06444 rev C Marki Microwave Inc. 215 Vineyard Court, Morgan Hill, CA 95037 Phone: (408) 778-4200 / FAX: (408) 778-4300 Email: info@markimicrowave.com

More information

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16]

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16] Code No: RR420203 Set No. 1 1. (a) Find g m and r ds for an n-channel transistor with V GS = 1.2V; V tn = 0.8V; W/L = 10; µncox = 92 µa/v 2 and V DS = Veff + 0.5V The out put impedance constant. λ = 95.3

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register Description: The NTE74HC165 is an 8 bit parallel in/serial out shift register in a 16 Lead DIP type package

More information

Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects

Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects Graduate Theses and Dissertations Graduate College 2014 Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects Srijita Patra Iowa State University Follow this

More information