Methodology From Chaos in IC Implementation

Size: px
Start display at page:

Download "Methodology From Chaos in IC Implementation"

Transcription

1 Methodology From Chaos in IC Implementation Kwangok Jeong 1 and Andrew B. Kahng 1,2 1 ECE and 2 CSE Departments, University of California at San Diego, La Jolla, CA, USA kjeong@vlsicad.ucsd.edu, abk@cs.ucsd.edu Abstract Algorithms and tools used for IC implementation do not show deterministic and predictable behaviors with input parameter changes. Due to suboptimality and inaccuracy of underlying heuristics and models in EDA tools, overdesign using tighter constraints does not always result in better final design quality. Moreover, negligibly small input parameter changes can result in substantially different design outcomes. In this paper, we assess the nature of chaotic behavior in IC implementation tools via experimental analyses, and we determine a methodology to exploit such behavior based on the multi-run and multi-start sampling concepts proposed in [2]. e also suggest the number of sampling trials that yields more predictably good solutions; this allows us to improve quality of design without any manual analysis or manipulation, without changing any existing tool flows, and without unnecessary expenditure of valuable computing resources. I. INTRODUCTION ith the rapid scaling of design complexity and quality requirements for system-on-chip products, electronic design automation (EDA) tools are confronted with ever-increasing problem difficulty and instance size. Virtually all underlying problem formulations within the IC implementation flow are NP-hard, and only heuristics - typically with unknown suboptimality - are usable in practice. In addition, due to the shrinking scale and large variability of nanometer process technologies, the modeling and analysis of physical problems are often fraught with accuracy challenges. Commercial EDA tools and methodologies are used in production design because they improve turnaround times and design productivity. However, due to the suboptimality of underlying heuristics and the inaccuracy of underlying models and analyses, designers typically expect to spend significant time and effort after the tool flow is completed, to analyze remaining problems and fix them manually. To trade off quality of results versus implementation time and effort, designers must be able to predict the output quality of heuristic tools and methodologies. Based on their predictions, designers may target different objectives (e.g., minimizing area rather than performance), or different values of objectives (e.g., higher clock frequency, or lower leakage power). ith the above in mind, predictability is one of the most important attributes of IC design automation algorithms, tools and methodologies [1]. However, EDA heuristic approaches do not always behave according to users intentions. Yakowitz et al. [5] study random search in machine learning under noise, and Kushner [4] analyzes the effect of noise in machine learning as stochastic approximation. Hartoog [3] observes the existence of noise in a VLSI algorithm and proposes exploitation of the noise to produce various benchmark circuits. At the first Physical Design Symposium in 1997, ard Vercruysse of Sun Microsystems referred to the back-end implementation flow as a chaos machine. The implication is that a very small change in inputs could lead to a very large change in outputs. In 2001, Kahng and Mantik [2] examined inherent noise in IC implementation tools, i.e., how equivalent inputs could lead to different outputs. In the present work, we assess the nature of chaotic behavior in IC implementation tools, with an aim to establishing a beneficial methodology from such chaos. To assess the chaotic behavior, we experimentally determine answers to four questions: How strongly correlated are post-synthesis netlist quality and post-routing design quality? How strongly correlated are design quality as evaluated by vendor place-and route tools and design quality as evaluated by signoff tools? hat chaotic behavior is associated with input parameters of vendor synthesis tools? hat chaotic behavior is associated with input parameters of vendor place-and-route tools? Our experimental analyses confirm substantial chaos in vendor tools, e.g., worst timing slack can vary by up to hundreds of picoseconds, and area can vary by up to 16.4%, in a given (65nm) block implementation. Furthermore, there is little correlation between major design stages. Based on our experimental results, we establish amethodology to exploit the unavoidable noise and chaos in backend optimization tools. Our methodology is based on multirun and/or multi-start execution of the design flow with small, intentional input parameter perturbations. This recalls the work of [2], which also proposed the exploitation of inherent tool noise to achieve more predictable and stable tool outcomes. A key difference between [2] and our work is that the noise sources in [2] - renaming cell instances, perturbing the design hierarchy, etc. - are not practically usable. On the other hand, our proposed chaos levers, such as changing clock uncertainty by 1 picosecond, are trivially implemented and transparent to the design flow. ith the increasing availability of multiple and parallel computing platforms - multiple workstations in server farms, multiprocessor workstations, multicore processors, and multithreaded cores - our multi-run approach can be deployed with negligible impact on the overall design cycle time. 1 e also provide a method to find which input parameters are most sensitive to the perturbation, and optimal number of runs to obtain reasonably good and predictable solutions. The remainder of this paper is organized as follows. In Section II, we examine our four motivational questions regarding the unpredictability and chaotic nature of commercial tools. 1 In fact, since better-quality block implementations typically close faster, our approach can potentially reduce overall design cycle time /10/$ IEEE th Int'l Symposium on Quality Electronic Design

2 e describe our proposed method for exploiting chaotic tool behavior in Section III. Finally, Section IV gives conclusions. II. CHAOS IN IMPLEMENTATION TOOLS DUE TO INTENTIONAL INPUT DISTURBANCE There are two basic types of knobs that affect the quality of optimization. Tool-specific options - specifically, command options to turn on/off specific optimization heuristics. (e do not explore this, but use the same default tool options in all of our experiments.) Design-specific constraints - notably timing-related constraints (clock cycle time, clock uncertainty, input/output minimum/maximum delay, etc.) and floorplan-related constraints (utilization, aspect ratio, primary pin locations, etc.). In our study, we only examine the impact of intentional perturbation of design-specific constraints. For synthesis, we explore impact of timing-related constraints, and for placement and routing (P&R), we explore the impact of both timing- and floorplan-related constraints. e vary design-specific parameters by small amounts, and measure design quality metrics such as worst negative slack (NS), total negative slack (TNS), and total standard-cell area. e implement four testcases using a T SMC 65nm GPLUS library: two open-source cores, AES and JPEG, obtained as RTL from opencores.org [6], and two subblocks LSU (load and store unit) and EXU (execution unit) of the OpenSparcT 1 design, obtained from the Sun OpenSPARC Projects site [7]. e use a traditional timing-driven synthesis, placement and routing flow, and analyze final timing quality using a signoff RC extraction (Synopsys STAR-RCXT [13]) and a signoff static timing analyzer (Synopsys PrimeTime [10]). All specific tool versions are given in the references section below. Table I shows one of the implementation results for each of our testcases at the signoff stage. The remainder of this section describes our experimental investigation of the four motivating questions above - on the correlation between design stages, and on the chaotic behavior of implementation tools. TABLE I TESTCASE INFORMATION AT SIGNOFF ITH NOMINAL PARAMETER VALUES. IMPLEMENTATION USES Synopsys Design Compiler AND Synopsys Astro. SKE IS THE CLOCK UNCERTAINTY CONSTRAINT GIVEN AT SYNTHESIS STAGE TO ACCOUNT FOR CLOCK SKE OCCURRING AT PLACEMENT AND ROUTING STAGES. Design Cycle Skew IO NS TNS #Cells Area time (n Delay (n (n (µm 2 ) (n (n AES JPEG LSU EXU A. Correlation of Quality between Design Stages Motivating Question 1: How strongly correlated are postsynthesis netlist quality and post-routing design quality? It is by no means certain that a better-quality synthesized netlist will eventually lead to a better-quality design after placement and routing (P&R). Our first experiments examine the impact of the quality of input netlists on final P&R outcomes. e synthesize the AES core with various clock cycle times to have different timing quality at a target clock cycle time in synthesis. For each synthesized netlist, we perform placement and routing at the given target clock cycle time. e use Cadence RTL Compiler for synthesis and Cadence SOC Encounter for P&R. Table II summarizes the worst negative slack values after synthesis and after P&R, respectively. The first column shows the clock cycle time applied at synthesis stage, and the second column shows the worst negative slack (NS) with the target clock cycle time, i.e., 2ns. The third and fourth columns show the NS values after placement and routing, obtained from Cadence SOC Encounter (SOCE) [9] and Synopsys PrimeTime (PT ) [10], respectively. TABLE II TIMING QUALITY OF SYNTHESIZED NETLISTS VERSUS TIMING QUALITY AFTER PLACEMENT AND ROUTING, AND SIGNOFF. Clock Clock used with 2.0ns used from from synthesis clock after P&R SOCE PT (n synthesis (n From the data, we observe that an input netlist with better timing slack netlist does not always result in better timing slack after placement and routing. Furthermore, due to the timing miscorrelation between P&R and signoff tools, the worst-slack netlist from synthesis stage, obtained using largest clock cycle time (i.e., 2.4n, actually results in the best timing at signoff. How this can occur is suggested by Figure 1, which gives a rank-correlation plot of endpoint slack values of timing paths in the AES netlist, between post-synthesis and postplacement stages. The correlation coefficient is only Due to this miscorrelation between synthesis and P&R stages, the eventual benefit from maximizing the quality of the postsynthesis netlist is unclear. This gives us some intuition that any incremental tool runs should be directed to the P&R stage rather than the synthesis stage. Syn nthesis Placement Fig. 1. Rank correlation of timing slack at all endpoints, i.e., input pins of all registers, between post-synthesis and post-placement stages for the AES designs.

3 Motivating Question 2: How strongly correlated are design quality as evaluated by vendor place-and route tools and design quality as evaluated by signoff tools? Aside from the suboptimal nature of underlying optimization algorithms, there is another source of noise in the traditional implementation flow. Timing optimization is always based on (incremental) timing analysis. As is well known, such timing analysis requires models for gates and interconnect: timing and power models for gates are precharacterized in lookup tables using SPICE, and interconnect RC models are extracted from layout with precharacterized capacitance tables. Using the gate and interconnect models, effective load capacitance, slew degradation, interconnect delay are calculated using, e.g., asymptotic waveform estimation. The effective load capacitance and slew values thus obtained are then used as table indices to find corresponding gate delay values from delay tables. However, optimization tools use simplified models and embedded calculators to evaluate timing with the least possible computational expense. As a result, timing results seen by optimization tools can differ from those seen by signoff timing analysis tools. Signoff (Prim metime) Astro vs. PrimeTime SOCE vs. PrimeTime ~200ps underestimation (SOCE) Implementation (SOCE, Astro) Fig. 2. orst negative slack correlation between a signoff timing analysis tool Synopsys PrimeTime on the y-axis, and implementation tools Synopsys ASTRO (rectangle and Cadence SOC Encounter (diamond on the x-axis. Figure 2 shows the timing correlation between a signoff timing analyzer Synopsys PrimeTime, equipped with a signoff RC extractor Synopsys Star-RCXT, and two place-and-route tools, Synopsys Astro (AST RO) [11] and Cadence SOC Encounter (SOCE) [9], for 29 different implementations of the AES core. e observe that more than 200ps of timing slack difference can occur. To understand methodology implications of P&R vs. signoff discrepancies, we make a brief excursion into root causes of such discrepancies. Typical root causes are as follows. RC-extraction. e extract RC values from a signoff extractor Synopsys Star-RCXT (STAR) and a place-androute tool Cadence SOC Encounter (SOCE). e compare the extracted capacitance values using the Cadence Ostrich program. Figure 3 compares the extracted capacitances from STAR with those from SOCE. e observe that SOCE underestimates capacitance by 18.6%. 2 This significant difference may explain why SOCE so consis- 2 These data do not speak to the accuracy of extraction within a placeand-route tool. E.g., such a discrepancy may arise from a simplified twodimensional capacitance table given to the place-and-route tool. tently sees optimistic timing slacks when compared to the signoff tool (Figure 2). SOCE STAR Fig. 3. Normalized capacitance correlation between a signoff RC extractor Synopsys Star-RCXT (STAR) on the x-axis, and a place-and-route tool Cadence SOC Encounter (SOCE) on the y-axis. Delay calculation. e compare timing between SOCE and PT with the same RC parasitic file from SOCE, to eliminate the impact of the discrepancy in RC extraction. Table IV shows the NS and TNS calculated from both tools for our four testcases with default input parameters. The data suggests that delay calculation in SOCE and PT is well-correlated, as is usually the case. (N.B.: Viable implementation and signoff tools will calculate delay on a given extracted path to within at most a couple of tens of picoseconds difference from the golden tool.) TABLE III NS AND TNS FROM SIGNOFF TIMING ANALYZER Synopsys PrimeTime (PT ) AND P&R TOOL Cadence SOC Encounter (SOCE), USING THE SAME RC PARASITICS FROM SOCE. Design PT SOCE T T AES JPEG LSU EXU Other: Path-tracing and signal integrity in timing analysis. (1) Endpoint slack differences greater than several hundred picoseconds are typically due to discrepancies in path-tracing - i.e., the interpretation of timing constraints, timing exceptions, generated clocks, cyclebreaking in the timing graph, etc. - in the static timer. However, the testcases we use have only simple timing constraints consisting of one clock definition along with input/output delay constraints. Thus, path-tracing is not a factor in the timing miscorrelation between P&R and signoff in our experiments. (2) Endpoint slack differences on the order of 100ps are often due to discrepancies in signal integrity (e.g., crosstalk-induced delay variation) analyses. However, all timing analyses in our experiments are performed with signal integrity options turned off. Thus, signal integrity is not a factor in observed timing miscorrelations, either. Although there is a discrepancy between place-and-route tools and signoff tools, we believe that this discrepancy (in all experimental data we report here) is systematic and attributable to internal RC extraction and path delay calculation. As shown in Figure 2, SOCE consistently underestimates the

4 timing slack. This correlated discrepancy can be predicted and compensated. e may infer that design quality at the P&R stage is a stronger lever on final signoff timing than design quality at the synthesis stage. B. Chaotic Behavior in Optimization Tools In this subsection, we assess the impact of intentional perturbations applied to input parameters of synthesis and place-and-route tools. (As noted above, the perturbations that we study differ from the netlist manipulations studied in [2], and are transparently applied without changing the design flow.) Motivating Question 3: hat chaotic behavior is associated with input parameters of vendor synthesis tools? e analyze the impact of perturbation of timing-related parameters, such as clock cycle time, input/output delay, and clock uncertainty, at the synthesis stage. e use two commercial gate-level synthesis tools, Synopsys Design Compiler (DC) [12] and Cadence RTL Compiler (RC) [8]. e vary each parameter by an amount ranging from -3ps to 3ps with 1ps increments, and measure the changes in netlist quality metrics. Table II-B summarizes NS and total standard-cell area of the resulting synthesized netlists. Ideally, small perturbations of, e.g., a few picoseconds in input parameters should not change output quality, or should have predictable consequences. For example, a reduction of clock cycle time by 1 picosecond can be reasonably expected to result in a reduction of timing slack by the same 1 picosecond, since the difficulty of design optimization is virtually unchanged. However, due to the unpredictability of optimization tools, the resulting design quality appears to be random. e observe up to 53ps and 34ps of NS variations in DC and in RC, respectively. Among the results, we observe that some input perturbations result in better timing quality than the original (without perturbation design optimization. This improvement can be regarded as a benefit from chaotic behavior of the design optimization tools. However, as discussed in Section II-A, input parameter perturbations at synthesis may not have a great effect on final signoff design quality, due to the unpredictable miscorrelation between synthesis and placeand-route tools. Motivating Question 4: hat chaotic behavior is associated with input parameters of vendor place-and-route tools? e also analyze the impact of perturbations of both timingrelated parameters and floorplan-related parameters, in placeand-route tools. Since our second experiment above suggested that the quality of an input netlist is not preserved during placement and routing, we take one synthesized netlist arbitrarily from the synthesis results in the Table IV results, and perform traditional timing-driven placement and routing. e use two place-and-route tools, Synopsys Astro (AST RO) and Cadence SOC Encounter (SOCE). The nominal clock cycle time, input/output delay, and clock uncertainty values are shown in Table I, and nominal utilization and aspect ratio are 70% and 1.0, respectively. Table V summarizes worst negative slack (NS) and total negative slack (TNS) calculated using a signoff RC extraction and static timing analysis. e do not include the final area of placed and routed designs due to space limitations in the table, but the variation of area is observed to be as high as 16.4% in the AES core when utilization is increased by just 1%. 3 From the table, we again observe that small input parameter perturbations give rise to large timing slack changes, e.g., NS varies by up to 190ps (from -96ps to -287p in EXU, and TNS varies by more than 69ns (from -152ns to -83n in JPEG. C. Summary of observations Our experimental study provides the following evidence for chaotic behavior. Input parameter perturbation in synthesis results in up to 53ps of NS variation, and sometimes produces better-quality netlists than the original design constraints. However, because there is little correlation between postsynthesis netlist quality and post-routing design quality, improved synthesis results will not necessarily improve results after placement and routing. There is a large discrepancy in timing slack between optimization tools and signoff tools, which in our studies arises mainly from (i) the difference between optimization-internal and signoff RC extractors, and (ii) small discrepancies in delay calculation between optimization and signoff tools. Timing slack with the same RC parasitics can vary up to 34ps solely due to delay calculation discrepancies. However, the discrepancy may be predictable and hence compensatable. Input parameter perturbation in place-and-route tools results in up to 190ps of NS variation, up to 46ns of TNS variation, and up to 16.4% variation in total standard-cell area. In contrast to chaos in synthesis outcomes, the chaos in place-and-route outcomes appears more exploitable to improve final signoff quality. This chaotic behavior is unpredictable. In particular, for different design types or domains, it may not be possible to assess differences in nature of the chaotic behavior. However, from Table V, we can observe that more timingcritical designs (e.g., designs with more negative timing slack, more violating paths, or smaller clock cycle time) tend to show more sensitivity to the input parameter perturbation. III. PREDICTABILITY FROM CHAOS In the previous section, we observed chaos : large variation in final implementation quality arising from small (negligible) input parameter changes. Frequently, results after small input perturbations are better than those obtained using nominal input parameter values. From the results, we expect that we can achieve better design quality (and, potentially, improved design cycle time) without additional human effort or flow modifications. The key idea: run multiple times with small input perturbations, and return the best-quality solution. If only one CPU or tool license is available, then we can run multiple times on one CPU ( multi-run ), trading design quality for runtime. But when there are idle CPUs and licenses 3 Because area and power are correlated, as the area changes, the design power is also affected by the input parameter perturbation. However, the degree of chaos for power will be less: NS is a max attribute of the design, while power (as well as TNS) is a sum or average attribute.

5 TABLE IV IMPACT OF INTENTIONAL PERTURBATIONS OF INPUT PARAMETERS FOR SYNTHESIS TOOLS. RC AND DC INDICATE RESULTS FROM Cadence RTL Compiler AND Synopsys Design Compiler, RESPECTIVELY. BOLD ENTRIES INDICATE MIN/MAX IN EACH EXPERIMENT. Parameter Noise ( ) AES JPEG DC RC DC RC Area (µm 2 ) Area (µm 2 ) Area (µm 2 ) Area (µm 2 ) -3ps ps ps Clock 0ps Cycle 1ps ps ps ps ps ps Clock 0ps Uncertainty 1ps ps ps ps ps ps IO Delay 0ps ps ps ps Best orst Delta Parameter Noise ( ) LSU EXU DC RC DC RC Area (µm 2 ) Area (µm 2 ) Area (µm 2 ) Area (µm 2 ) -3ps ps ps Clock 0ps Cycle 1ps ps ps ps ps ps Clock 0ps Uncertainty 1ps ps ps ps ps ps IO Delay 0ps ps ps ps Best orst Delta in a large computing farm, we may be able to use as many CPUs as possible in parallel ( multi-start ) without affecting the design cycle time. In either scenario, we obtain a bestof-k methodology: (i) run k times on a CPU while varying input parameter values, or (ii) start k runs with different input parameter values, and take the best results out of the k different runs. If we wish to experimentally determine the best number k of runs - in a statistically meaningful manner - it at first seems necessary to execute many trials for each possible value of k. For example, we could conduct N trials each with a set of k runs, then record the best solution out of each set of k runs, and then find the average ( expected ) best solution for the given value of k. If we know the average best-of-k solution value for each value of k, then we can determine which k gives reasonably good solutions compared to the cost of resources. The challenge is that the above-described procedure requires far too many runs. Naively, if we run N trials of best-of-k runs, we may require N k separate runs. And if we test six different values of k numbers - e.g., 1, 2, 3, 4, 5, and 10 - through 100 trials, we would have to perform 100 ( ) = 2500 separate runs. To reduce the number of test runs needed to determine the best k value, we use the following sampling approach, which was originally presented in [2]. 1) Run a smaller number of different runs, e.g., 50 times with different inputs, instead of 2500 runs as in the previous example. 2) Record a quality metric, e.g., NS, for each run. Then, assume that the set of solutions for the 50 runs is the virtual solution space. 3) Randomly sample k solutions out of the virtual solution space N=100 times, and record the best results for each choice of k solutions. This process replaces the actual N trials of k runs each. 4) Find minimum, maximum, and average values of the best results recorded from the N sampling trials. For our experiments, we use this best-of-k method out of

6 TABLE V IMPACT OF INTENTIONAL PERTURBATION OF INPUT PARAMETERS IN PLACEMENT AND ROUTING TOOLS. AST RO AND SOCE REPRESENT THE RESULTS FROM Synopsys Astro AND Cadence SOC Encounter, RESPECTIVELY. BOLD ENTRIES INDICATE MIN/MAX IN EACH EXPERIMENT. Parameter Noise ( ) AES JPEG AST RO SOCE AST RO SOCE T T T T -3ps ps ps Clock 0ps Cycle 1ps ps ps ps ps ps Clock 0ps Uncertainty 1ps ps ps ps ps ps IO 0ps Delay 1ps ps ps Aspect Ratio % % % Placement 0% Utilization 1% % % Best orst Delta Parameter Noise ( ) LSU EXU AST RO SOCE AST RO SOCE T T T T -3ps ps ps Clock 0ps Cycle 1ps ps ps ps ps ps Clock 0ps Uncertainty 1ps ps ps ps ps ps IO 0ps Delay 1ps ps ps Aspect Ratio % % % Placement 0% Utilization 1% % % Best orst Delta

7 TABLE VI RANK ORDER (ITH RESPECT TO EXPECTED BEST-OF-k SOLUTION QUALITY FROM 100 TRIALS, FOR FIVE INPUT PARAMETERS OF PERTURBATIONS, FOR k = 1,..., 10 RUNS. THIS TABLE IS DERIVED FROM AES RESULTS IMPLEMENTED USING AST RO. AES JPEG k T S B A U k T S B A U LSU EXU k T S B A U k T S B A U all simulation results that were summarized in Table V. First, we find which input parameter is the most useful to perturb, with respect to k = 1,2,3,...,10. e randomly choose k solutions in each of 100 trials, out of our seven different runs for each perturbed input parameter: clock cycle time (T ), clock uncertainty (S), input/output delay (B), aspect ratio (A), and utilization (U). e then find worst, best, and average of the best NS from 100 trials for each k value. Table VI shows the quality ranks of each input parameter for our testcases implemented using AST RO. From the table, we observe that clock cycle time (T ) or input/output delay (B) perturbations may be the best for the AES design, utilization (U) perturbation may be the best for the JPEG design, and input/output delay (B) or aspect ratio (A) perturbations may be the best for the EXU design. For LSU, when k is small, clock cycle time (T ) or utilization (U) perturbations give the best design quality, but when k is larger than six, aspect ratio (A) perturbations give the best design quality. Second, we find the best k value if both the input parameters and the parameter values are randomly chosen, since the best knob is not common for different testcases. For each testcase, we randomly choose k solutions in each of 100 trials, out of the 35 different solutions available (five different input parameters times seven different (perturbed) values of each parameter) for the testcase. Figures 4, 6, 8, and 10 (one figure per testcase) show the worst, best and average NS values out of 100 trials of best-of-k sampling when AST RO is used for implementation. Figures 5, 7, 9, and 11 show the worst, best and average NS values out of 100 trials of best-of-k sampling when SOCE is used for implementation. From Figures 4-11, we observe that the average NS from 100 trials improves rapidly with increasing k. In most cases, when k = 3, the average expected quality is within 20ps of the best solution quality. e also observe that the worst-case NS from 100 trials can be improved significantly with small k. For example, when k = 3, multi-run or multi-start using SOCE is expected to improves NS of EXU by more than 100ps. 4 AES ASTRO orst of 100 trials Fig. 4. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: AES implemented using AST RO. AES SOCE orst of 100 trials Fig. 5. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: AES implemented using SOCE. JPEG ASTRO orst of 100 trials Fig. 6. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: JPEG implemented using AST RO. IV. CONCLUSION In this work, we have experimentally assessed the nature of chaotic behavior in commercial IC implementation tools. Chaos is attributable to miscorrelations of performance analyses between synthesis and P&R, and between P&R 4 ith the multi-run scenario, the runtime overhead of k = 3 can be naively viewed as three times larger than that of the traditional design methodology. However, if we consider the effort and time required to analyze and manually improve timing quality by more than 100ps, the overhead from the pure tool runtime can be substantially compensated. Furthermore, since better quality of the initial optimization typically results in faster timing closure, the overall design cycle time can be potentially reduced. ith the multi-start scenario, it is clear that there will be negligible design time overhead, since our methodology can be trivially implemented and does not require any change to the existing design flow.

8 JPEG SOCE orst of 100 trials Fig. 7. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: JPEG implemented using SOCE. LSU ASTRO orst of 100 trials Fig. 8. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: LSU implemented using AST RO. LSU SOCE orst of 100 trials Fig. 9. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: LSU implemented using SOCE. EXU ASTRO orst of 100 trials Fig. 10. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: EXU implemented using AST RO. EXU SOCE orst of 100 trials Fig. 11. orst, best and average NS values out of 100 trials with respect to expected best-of-k solution quality: EXU implemented using SOCE. and signoff. e also characterize the effects of intentional, negligible perturbations of input parameters on output quality of commercial tools and flows. Based on our experimental results, we propose a methodology to exploit the chaotic tool behavior using multi-run (1 license or 1 CPU scenario) or multi-start (multiple licenses and CPUs scenario) with intentional perturbations of the input parameters. e also describe an efficient method to determine the best number k of multiple runs that will yield predictably high-quality solutions without any additional manual analysis or manipulation, without changing any design flows, and without wasting valuable computing resources. The deployment of new implementation and signoff tool capabilities opens up new directions for ongoing and future work, including the following. (1) e seek to analyze the potential advantages of the inherent chaos in advanced physical synthesis tools that exploit physical information at the synthesis stage to reduce synthesis-placement miscorrelations. (2) e seek to evaluate the benefits of chaos in conjunction with more advanced signoff methodologies (e.g., signal integrity-enabled STA), as well as more advanced signoff analyses (e.g., path-based analysi, which may exhibit even more chaotic behavior than today s standard flows. REFERENCES [1] International Technology Roadmap for Semiconductors, [2] A. B. Kahng and S. Mantik, Measurement of Inherent Noise in EDA Tools, Proc. International Symposium on Quality in Electronic Design, 2002, pp [3] M. R. Hartoog, Analysis of Placement Procedures for VLSI Standard Cell Layout, Proc. Design Automation Conference, 1998, pp [4] H. J. Kushner, Asymptotic Global Behavior for Stochastic Approximation and Diffusions with Slowly Decreasing Noise Effects: Global Minimization via Monte Carlo, SIAM Journal on Applied Mathematics 47(1) (1987), pp [5] S. Yakowitz and E. Lugosi, Random Search in the Presence of Noise, ith Application to Machine Learning, SIAM Journal of Scientific and Statistical Computing 11(4) (1990), pp [6] OPENCORES.ORG, [7] Sun OpenSPARC Project, [8] Cadence RTL Compiler version ic/rtl compiler/index.aspx. [9] Cadence SOC Encounter version encounter/pages/. [10] Synopsys PrimeTime version B PrimeTime.aspx. [11] Synopsys Astro version Y [12] Synopsys Design Compiler version Y compiler.html. [13] Synopsys Star-RCXT version Z

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

(a) (b) Fig. 1. Transition propagation in (a) GBA mode and (b) PBA mode.

(a) (b) Fig. 1. Transition propagation in (a) GBA mode and (b) PBA mode. Using Machine Learning to Predict Path-Based Slack from Graph-Based Timing Analysis Andrew B. Kahng, Uday Mallappa, Lawrence Saul CSE and ECE Departments, UC San Diego, La Jolla, CA, USA {abk, umallapp,

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects

SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects Predicted Incremental Delay in SI Mode (ps) Path Slack in Non-SI Mode (ns) SI for Free: Machine Learning of Interconnect Coupling Delay and Transition Effects Andrew B. Kahng, Mulong Luo and Siddhartha

More information

Design for Variability and Signoff Tips

Design for Variability and Signoff Tips Design for Variability and Signoff Tips Alexander Tetelbaum Abelite Design Automation, Walnut Creek, USA alex@abelite-da.com ABSTRACT The paper provides useful design tips and recommendations on how to

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Taigon Song 1, Shreepad Panth 2, Yoo-Jin Chae 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization Nathaniel A. Conos and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {conos,

More information

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning Tuck-Boon Chan, Kwangok Jeong and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Website: ECE 260B CSE 241A Parasitic Estimation 1

Website:   ECE 260B CSE 241A Parasitic Estimation 1 ECE260B CSE241A Winter 2007 Parasitic Estimation Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Parasitic Estimation 1 Outline Parasitic Estimation Wirelength Estimation ECE 260B

More information

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Abstract Tuck-Boon Chan and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS

CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS CROSSTALK NOISE ANALYSIS FOR NANO-METER VLSI CIRCUITS by Ravikishore Gandikota A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 7, JULY 2006 1273 Statistical Interconnect Metrics for Physical-Design Optimization Kanak Agarwal, Member, IEEE,

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 51 (2011) 1582 1586 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage www.elsevier.com/locate/microrel Impact of irregular geometries

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

Noise and Delay Uncertainty Studies for Coupled RC Interconnects Noise and Delay Uncertainty Studies for oupled R Interconnects Andrew B. Kahng, Sudhakar Muddu and Devendra idhani ULA omputer Science Department, Los Angeles, A 995, abk@cs.ucla.edu Silicon Graphics,

More information

Performance-Impact Limited Area Fill Synthesis

Performance-Impact Limited Area Fill Synthesis Performance-Impact Limited Area Fill Synthesis Yu Chen, Puneet Gupta, and Andrew B. Kahng Computer Science Dept., UCLA, Los Angeles, CA 90095-1596 Electrical and Computer Engineering Dept., UCSD, La Jolla,

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

SINCE the early 1990s, static-timing analysis (STA) has

SINCE the early 1990s, static-timing analysis (STA) has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 4, APRIL 2008 589 Keynote Paper Statistical Timing Analysis: From Basic Principles to State of the Art David

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks ABSTRACT Weicheng Liu, Emre Salman Department of Electrical and Computer Engineering Stony Brook University Stony Brook, NY 11794 [weicheng.liu,

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Elad Alon Homework #9 EECS141 PROBLEM 1: TIMING Consider the simple state machine shown

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Repor4ng Quality of Results

Repor4ng Quality of Results Analyzing Timing A,er you set the 4ming constraints such as clocks, input delays, and output delays, it is a good idea to use the check_4ming command to check for 4ming setup problems and 4ming condi4ons

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints

A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints Ashish Hari, Sulabh Kumar Khare Accellera Systems Initiative 1 Agenda SOC implementation challenge with CDC paths

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Capturing Post-Silicon Variations using a Representative Critical Path

Capturing Post-Silicon Variations using a Representative Critical Path 1 Capturing Post-Silicon Variations using a Representative Critical Path Qunzeng Liu and Sachin S. Sapatnekar Abstract In nanoscale technologies that experience large levels of process variation, post-silicon

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty ECE Department The University of Texas at Austin Austin, TX 78703, USA ashutosh@cerc.utexas.edu David Z. Pan ECE Department The University

More information

On Bounding the Delay of a Critical Path

On Bounding the Delay of a Critical Path On Bounding the Delay of a Critical Path Leonard Lee lylee@ece.ucsb.edu Li-C. Wang licwang@ece.ucsb.edu Department of Electrical and Computer Engineering University of California - Santa Barbara Santa

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Utilizing Redundancy for Timing Critical Interconnect

Utilizing Redundancy for Timing Critical Interconnect 1 Utilizing Redundancy for Timing Critical Interconnect Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li Abstract Conventionally, the topology of signal net routing is almost always restricted to Steiner trees,

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

Pre-Layout Estimation of Individual Wire Lengths

Pre-Layout Estimation of Individual Wire Lengths University of Toronto Pre-Layout Estimation of Individual Wire Lengths Srinivas Bodapati (Univ. of Illinois) Farid N. Najm (Univ. of Toronto) f.najm@toronto.edu Introduction Interconnect represents an

More information

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation 1 Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation Junghee Lee and Joonhwan Yi Telecommunication R&D Center Samsung Electronics {junghee77.lee, joonhwan.yi} @ samsung.com

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

Variability Aware Statistical Timing Modelling Using SPICE Simulations

Variability Aware Statistical Timing Modelling Using SPICE Simulations Variability Aware Statistical Timing Modelling Using SPICE Simulations Master Thesis by Di Wang Informatics and Mathematical Modelling, Technical University of Denmark January 23, 2008 2 Contents List

More information

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models Peter Feldmann IBM T. J. Watson Research Center Yorktown Heights, NY Soroush Abbaspour, Debjit Sinha, Gregory

More information

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació. Xarxes de distribució del senyal de rellotge. Clock skew, jitter, interferència electromagnètica, consum, soroll de conmutació. (transparències generades a partir de la presentació de Jan M. Rabaey, Anantha

More information

Efficient Monte Carlo based Incremental Statistical Timing Analysis

Efficient Monte Carlo based Incremental Statistical Timing Analysis Efficient Monte Carlo based Incremental Statistical Timing Analysis Vineeth Veetil, Dennis Sylvester, David Blaauw EECS Department, University of Michigan, Ann Arbor, MI - 48109 tvvin,dennis,blaauw@eecs.umich.edu

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 6, JUNE 2005 849 Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

More information

On Two Class-Constrained Versions of the Multiple Knapsack Problem

On Two Class-Constrained Versions of the Multiple Knapsack Problem On Two Class-Constrained Versions of the Multiple Knapsack Problem Hadas Shachnai Tami Tamir Department of Computer Science The Technion, Haifa 32000, Israel Abstract We study two variants of the classic

More information

CHAPTER 5 ROBUSTNESS ANALYSIS OF THE CONTROLLER

CHAPTER 5 ROBUSTNESS ANALYSIS OF THE CONTROLLER 114 CHAPTER 5 ROBUSTNESS ANALYSIS OF THE CONTROLLER 5.1 INTRODUCTION Robust control is a branch of control theory that explicitly deals with uncertainty in its approach to controller design. It also refers

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology

Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology Design of Control Modules for Use in a Globally Asynchronous, Locally Synchronous Design Methodology Pradnya Deokar Department of Electrical and Computer Engineering, VLSI Design Research Laboratory, Southern

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical & Electronic

More information

A Low-Error Statistical Fixed-Width Multiplier and Its Applications

A Low-Error Statistical Fixed-Width Multiplier and Its Applications A Low-Error Statistical Fixed-Width Multiplier and Its Applications Yuan-Ho Chen 1, Chih-Wen Lu 1, Hsin-Chen Chiang, Tsin-Yuan Chang, and Chin Hsia 3 1 Department of Engineering and System Science, National

More information

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Takumi Okamoto NEC Corporation 75 Shimonumabe, Nakahara-ku, Kawasaki, Kanagawa -8666, Japan okamoto@ct.jp.nec.com Tsutomu Kimoto Naotaka

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

CHEMICAL mechanical polishing (CMP) (dummy) fills

CHEMICAL mechanical polishing (CMP) (dummy) fills 22 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 21, NO. 1, FEBRUARY 2008 DOE-Based Extraction of CMP, Active and Via Fill Impact on Capacitances Andrew B. Kahng, Senior Member, IEEE, and Rasit

More information

A Random Walk from Async to Sync. Paul Cunningham & Steev Wilcox

A Random Walk from Async to Sync. Paul Cunningham & Steev Wilcox A Random Walk from Async to Sync Paul Cunningham & Steev Wilcox Thank You Ivan In the Beginning March 2002 Azuro Day 1 Some money in the bank from Angel Investors 2 employees Small Office rented from Cambridge

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Reducing power in using different technologies using FSM architecture

Reducing power in using different technologies using FSM architecture Reducing power in using different technologies using FSM architecture Himani Mitta l, Dinesh Chandra 2, Sampath Kumar 3,2,3 J.S.S.Academy of Technical Education,NOIDA,U.P,INDIA himanimit@yahoo.co.in, dinesshc@gmail.com,

More information

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure Noise and Delay Estimation for oupled R Interconnects Andrew Kahng Sudhakar Muddu and Devendra idhani Silicon Graphics Inc. Mountain iew A 9439 ULA omputer Science Department Los Angeles A 995 abk@cs.ucla.edu

More information

Efficient Monte Carlo based Incremental Statistical Timing Analysis

Efficient Monte Carlo based Incremental Statistical Timing Analysis 39.1 Efficient Monte Carlo based Incremental Statistical Timing Analysis Abstract Modeling and accuracy difficulties exist with traditional SSTA analysis and optimization methods. In this paper we describe

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption EE115C Winter 2017 Digital Electronic Circuits Lecture 6: Power Consumption Four Key Design Metrics for Digital ICs Cost of ICs Reliability Speed Power EE115C Winter 2017 2 Power and Energy Challenges

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

Variation-Resistant Dynamic Power Optimization for VLSI Circuits

Variation-Resistant Dynamic Power Optimization for VLSI Circuits Process-Variation Variation-Resistant Dynamic Power Optimization for VLSI Circuits Fei Hu Department of ECE Auburn University, AL 36849 Ph.D. Dissertation Committee: Dr. Vishwani D. Agrawal Dr. Foster

More information

Smart Non-Default Routing for Clock Power Reduction

Smart Non-Default Routing for Clock Power Reduction Smart Non-Default Routing for Clock Power Reduction Andrew B. Kahng, Seokhyeong Kang and Hyein Lee ECE and CSE Departments, University of California at San Diego abk@ucsd.edu, shkang@vlsicad.ucsd.edu,

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

Cost-driven mask strategies considering parametric yield, defectivity, and production volume. Kwangok Jeong Andrew B. Kahng Christopher J.

Cost-driven mask strategies considering parametric yield, defectivity, and production volume. Kwangok Jeong Andrew B. Kahng Christopher J. Cost-driven mask strategies considering parametric yield, defectivity, and production volume Kwangok Jeong Andrew B. Kahng Christopher J. Progler J. Micro/Nanolith. MEMS MOEMS 10(3), 033021 (Jul Sep 2011)

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

9/18/2008 GMU, ECE 680 Physical VLSI Design

9/18/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter III CMOS Device, Inverter, Combinational circuit Logic and Layout Part 3 Combinational Logic Gates (textbook chapter 6) 9/18/2008 GMU, ECE 680 Physical VLSI Design

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

DC and Transient. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. DC and Transient Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-604 yrpeng@uark.edu Pass Transistors We have assumed source is

More information