Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Size: px
Start display at page:

Download "Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out"

Transcription

1 Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors and Digital Systems Lab, National Technical University of Athens , Zografou, Athens, Greece {mpekiaris, antonis, dsoudris, geconom, pekmes}@microlab.ntua.gr Abstract. The aggressive scaling of nanometer CMOS technology nodes and the integration of low-k dielectrics introduces novel reliability phenomena that progressively degrade the electrical characteristics of copper interconnects. These effects impact the performance of designs gradually rather than abruptly, leading to timing violations in several timing-critical paths and thus shortening the system s lifetime. Therefore, the need for design methodologies predicting the impact of such phenomena is emerging. This paper presents a case study for a dominating interconnect reliability effect, Electro-migration, based on an MP- SoC platform with two LEON3 SPARC processors as kernels. The proposed flow studies the impact of Electro-migration on the timing-critical paths of the design and estimates the system s lifetime due to this effect. The presented case study may be used as a guide to estimate the impact of Electro-migration in the performance of complex, MP-SOC designs, based on a proposed analysis framework, which can be extended to the study of relevant interconnect reliability phenomena. Keywords: Reliability, Electro-migration, Performance 1 Introduction As CMOS technology scales-down to the nanometer regime, the continuous shrinking of dimensions in both transistors and interconnects reveals novel emerging issues regarding the reliability of modern integrated circuits. Also, the new low-k dielectric materials, which are widely used to reduce the inter-metal capacitance, impose additional reliability wear-out mechanisms in adjacent wires of the same metal layer. However, technology scaling will not significantly reduce the operating voltage, even though the dimensions of devices and wires will keep on shrinking, according to the ITRS roadmap [1]. Hence, the total amount of current passing through the wires does not change significantly. Consequently, the current density of wires increases with scaling, as well as the electrical field between interconnects of the same layer. Hence, Back-End-of-Line (BEOL) reliability phenomena such as Electro-Migration (EM), Stress-Migration (SM) and Time-Dependent Dielectric Breakdown (TDDB) become important.

2 Regarding EM, scaling reduces both the width and the thickness of wires and therefore increases current density, leading to larger transport of Cu atoms and to formation of voids that increase the wire s resistance. The SM effect exists due to the abrupt changes of temperature that lead to tensile stress of interconnects, while TDDB rises with the shrinking of spacing between wires of the same metal layer, as electrical fields become more intense and form leakage conductive paths that gradually damage the inter-metal dielectric in nanoscale CMOS process technologies. The influence of the aforementioned interconnect reliability phenomena is much stronger than simply the decay of electrical and structural characteristics on separate wires. Their impact may affect the timing of the design and therefore the performance of the system in which the specific design is integrated. For example, a possible resistance rise of 20% due to EM could impose a delay overhead that could violate the desired timing constraint. In such cases, the system cannot function according to the initial performance specifications. Such a timing failure due to EM can shorten the system s desired lifetime. This class of failures in interconnects is rather different from those that occur the manufacturing process of ICs, as they progressively impact the system s lifetime and can be largely predicted before the design is fabricated. The prediction of timing failures due to interconnect reliability effects forms the motivating aspect of the presented work, which examines the impact of EM on the performance of an MP-SoC system, based on two LEON3 SPARC processors. For this case study, EM was selected among the aforementioned reliability effects, because it has the greater impact on delay, compared to SM and TDDB. This impact is estimated by the presented interconnect reliability framework, which computes the system s delay degradation due to the wire resistance increase on the design s most timing-critical paths. Our flow extracts the wires of interconnects belonging on these paths and computes the resistance change of the wires affected by EM, as well as the years required for this change to occur. The new resistances are computed considering a certain system lifetime, assumed to be ten years in these experiments. The delay impact of EM on the system s performance is evaluated by performing a final timing analysis on the design, by annotating the parasitic file with the increased wire resistances. The outline of the paper starts presenting the related work presented recently in the literature and continues with our EM model. The next section, namely Section 4, presents the proposed reliability analysis framework, while Section 5 demonstrates the experimental results from the application of this framework to an MP-SoC platform. Finally, a discussion on the results and also hints for future work conclude the paper. 2 Related work The majority of recent works presented in the literature on the field of EM estimation and analysis can be separated into two classes, depending on the level of design abstraction on which they target. In perspective, the first class of methodologies and tools presented estimate the impact of EM on small components based on EM physical models. This approach is depicted on tools like those presented in [2], [3]

3 and [4]. These are tools based on analog simulation and they perform an EM characterization of components at a transistor-level granularity. Based on the same level of design abstraction, the works presented in [5] and [6] estimate the impact of EM on the wire tree interconnection structures of relatively small layouts and find the mostly affected wires. On the other hand, system-level approaches are presented in [7], [8] and [9] are based on pre-characterized coarse-grained components, but their models are rather abstract and they do not accurately estimate the system s performance drift over time. A system-level approach based on more accurate models is addressed in [10], where the developed tool performs a characterization of small components, based on analog simulation, and back-annotates them to an hierarchical design for the full-chip EM analysis. However, it focuses on hard breakdown failures on wires. On the contrary, the tool flow presented in [11] and [12] focuses on the impact of EM on the system s performance over time, by considering the design s critical path. In this work, we make a step forward toward the exploration of paths that are initially sub-critical, but which could become critical, considering the progressive impact of EM on the resistance of affected wires in such paths. Thus, we demonstrate an EM analysis framework, based on a generic interconnect reliability estimation flow, and we select the most critical register-to-register paths of a LEON3-based MP-SoC layout. The proposed EM tool chain extracts the wires of interconnects in the selected paths and computes the new resistances for each separate wire. The updated resistance values are annotated to the layout s parasitics, which are driven to the final timing analysis, step, in order to evaluate the EM impact on the nets of the specific paths. The EM model based on which the resistance change computations are performed is given in the next section, followed by the proposed reliability analysis framework. 3 The Electro-migration Modeling Electro-migration (EM) is one of the major interconnect reliability wear-out mechanisms in Cu interconnects, as it leads to an abrupt resistance step of wires that are longer than a specific length and progressively to a delay shifting that could be fatal for the system s lifetime, if the wires are on a timing critical path. This resistance step occurs progressively, after a certain period of the system s functionality, in wires exceeding a critical length, known as Blech Length. In EM modeling theory, the Blech Length is determined as the length threshold below which EM does not affect wires and it is strongly depends on the current density of the specific wire. The wires at which EM occurs are those with length L > ((jl) critical /j op ), where (jl) critical is assumed to be equal to 3700A/cm, according to [12], while j op is the operating current density of the wire. Hence, in the wires longer than the Blech Length, the mass transport of free electrons stresses the atoms of Cu and leads to the formation of voids to the wire s cathode, while it creates void extrusions to the anode. The void nucleation disrupts the wire s electrical conductivity and it is responsible for the wire resistance step, as it forces current to come through the wire s barrier, hence increasing its resistance significantly.

4 An example illustrating the EM phenomenon is shown in Fig. 1, where a copper wire of width W, length L and thickness H is shown, along with its barrier, of thickness t b. Figure 1. The structure of a copper wire, showing a void formation due to EM. As the current comes through the wire, the length of the void space gradually increases, until it reaches a critical value, L void, at which the atoms of copper in this region are depleted and the wire s resistance shows an abrupt step, R step, given by the equation: R step = ((ρ b /( t b *W+ t b *2H))-(ρ Cu /H*W))*L void (1) In Eq. 1, ρ b and ρ Cu stand for the resistivity of the barrier and the Cu respectively. The time required for R step to occur, namely t 50,op, is computed in years and depends on the operating current density and temperature, as follows: t 50,op = t 50,stress * (j stress /j op ) n * exp(e a /K((1/T op ) (1/T stress ))) (2) In Eq. 2, R slope,stress, j stress and T stress are the slope, the current density and the temperature under stress conditions, while j op and T op are the operating density and temperature respectively. Also, E a is the activation energy, K is the Boltzmann constant and n is the current density exponent. Once the wire s resistance rises from its initial value to R step, it increases with a slope per year, depending on current density and temperature, defined as: R slope,op = R slope,stress * (j op /j stress ) * exp(e a /K((1/T stress ) (1/T op ))) (3) The following figure illustrates the resistance behavior of a wire that suffers from EM over time and depicts the metrics defined in the three above equations. The presented EM model is the basis for our estimation framework, given in the next section. Figure 2. Resistance change of a copper wire over time due to EM impact.

5 4 The proposed interconnect reliability analysis framework In this paper, our reliability framework focuses on EM, because this is the most prominent phenomenon that affects the electrical characteristics of interconnects and impact the system s performance over time significantly. However, its structure is generic, so that relevant interconnect reliability effects can be integrated into the same tool flow. The generic interconnect reliability framework is shown in Fig. 3. The flow of Fig. 3 takes as input the layout of a design, implemented with a standardcell CMOS technology library and it is the platform on which the proposed methodology is evaluated. Hence, the tool flow reads the layout database and performs a static timing analysis on the design, to extract its critical path. Figure 3. The proposed generic interconnect reliability framework. The nets belonging to this path are considered as the key interconnects, as long as even a relatively small impact of the studied reliability effect on the electrical characteristics of their wires could violate the required performance constraints and shorten the system s lifetime. The wires of these nets are then extracted through the layout database and they are driven to the next step, which computes the impact of the studied reliability effect on the electrical characteristics of these wires. Finally, the updated electrical characteristics of the selected wires are annotated to the final timing

6 analysis step, in which we evaluate the impact of the reliability effect on the system s critical path delay. In this paper, this generic framework focuses on the analysis of EM and introduces a detailed methodology that estimates the system s delay degradation, because of the gradual resistance increment in the wires of the critical path. 4.1 The proposed EM flow Our system-level EM analysis framework is based on the generic reliability flow of Fig. 3. Thus, its input is an again an IC layout, on which we initially perform static timing analysis to find the design s critical path. The nets of the design included in this path are the key interconnects for the EM analysis. Then, the wires of these nets are extracted, by reading the layout database. Their physical dimensions are used for the Blech Length and the resistance change computations of Section 3, based on the current density estimation for each net. For the wires of each net exceeding the Blech Length, our tool flow computes the new resistances due to EM and updates the Standard Parasitic Exchange Format (SPEF) file with the new resistance values. The updated SPEF file is used as input to the final static timing analysis step. As far as there are wires longer than the Blech Length for a specific net, the increased resistances may incur a significant delay overhead in the design s critical path. Hence, the delay overhead shown in the produced timing report demonstrates the impact of EM on the system s performance. Also, as it is shown in the forthcoming section, the timing of sub-critical paths that are close to the longest one may also be affected. The analytic description of the EM flow steps is given below. Figure 4. The proposed interconnect reliability analysis flow for EM. Step 1 - Timing analysis and layout extraction: We perform timing analysis on the post-layout netlist of the design, in order to find the critical register-to-register path. The timing report is derived from Synopsys Design Compiler [14] timing analysis engine and it includes the delay of each standard cell and the nets connecting the standard-cells. These nets are considered as the key interconnect and their wires will be extracted, to perform the EM analysis for the longest path.

7 Therefore, we import the layout database in Cadence SoC Encounter [15], to extract the physical dimensions of wires corresponding to the selected, key nets. The extraction of wire length, width and thickness is achieved by using the Encounter Database Access command set. These dimensions will be used for the EM impact on the wire resistance. Also, we extract the total net capacitance, required for the current density estimation. Step 2 - Current density estimation: The current density of each net connecting two standard cells in the critical path is estimated through the standard-cell library information file (e.g. the.lib file), which keeps the timing information for each cell, depending on its output load capacitance. Hence, we read the look-up table of output load capacitances for the standard-cell that drives the specific net, to find the index of the capacitance which is greater or equal with the net s capacitance. This index is used to read the rise and fall time that corresponds to this load capacitance. The rise and fall times are used to compute the rise and fall current respectively, through the equation I = C net *V dd /transition_time, where C net is the total net capacitance, V dd is the operating voltage, defined in the standard-cell library, and transition_time represents either the rise or the fall time, computed as defined previously. However, wires are imposed to AC current stress during the circuit s operation. Therefore, we decided to determine the wire s current as an average value, defined as I ave = I rise - I fall. Then, the average current density, j ave, of each the net s wire is given by the equation j ave = I ave /A, where A is the wire s cross-section area. Based on j ave, we determine the Blech Length of the net s wires and then, we compute the resistance step due to EM, as well as the year required for this step to occur. Step 3 - Blech length and resistance change calculation: In our model, the Blech Length of a net s wire is computed by the expression {jl critical /j ave }, where jl critical is assumed to be 3700A/cm, while j ave is the net s operating average current density. For the wires exceeding Blech Length, our EM model computes R step, t 50 and R slope, according to Eq. 1, 2 and 3 of Section 3, after computing j ave. The above three steps are implemented by a Tcl script, which runs in SoC Encounter environment and uses the Encounter DB Access commands for the geometrical extraction of wires. The output of the script is a report dumped in an ASCII file, including the number of wires of each net above the Blech Length, as well as R step, R slope and t 50. Step 4 Parasitic resistance annotation and final timing analysis: This report is used for the computation of the new wire resistances due to EM and for the update of the layout s parasitics with the new resistances. This is performed through a Perl script that reads the nets from the text report, along with R step, R slope and t 50 for each wire of the specific net and computes the new wire resistance, considering a desired system lifetime, t sys_lifetime, of 10 years, as follows: R em = R initial + R jumping + R slope * (t sys_lifetime - t 50 ) (4) In the above equation, R initial is the initial resistance that is likely to be updated with the new one, R em. After computing R em, for each net s wire, our script finds the specific net in the SPEF file and replaces the resistance of the proper net segment (e.g. wire) with the new value. The SPEF file produced is driven to Design Compiler for the final static timing analysis. The new delay of the timing report and the reduction

8 on the setup timing slack evaluate the influence of EM on the design s longest path and therefore, on the system s performance over time. In the following section, we present the application of our EM framework to the case study of an MP-SoC system, based on two LEON3 processor cores. In this case study, we show that there can be more paths in the specific design, apart from the critical one, that may violate the required performance constraints, due to EM. 5 Application of the EM framework to a LEON3-based MP-SoC 5.1 The LEON3-based MP-SoC platform The presented EM analysis flow is applied to an MP-SoC system, based on two LEON3 SPARC processor cores. Each core is comprised of the integer unit, which implements the processor pipeline, and the cache controllers, while the caches have 2 sets of 8K bytes. The two processors are connected through the AMBA Advanced High-Performance Bus (AHB) controller and the peripherals are attached to the Advanced Peripheral Bus (APB). The system includes also an in-circuit Debug Support Unit (DSU), as well as an SDRAM controller. Regarding the peripherals, the design contains one Universal Asynchronous Receiver Transmitter (UART) controller attached to AHB and two connected to APB. The remaining peripherals are a general purpose timer, an interrupt controller and a parallel input/output interface. The AHB and APB peripherals, as well as the DSU, are configured as slaves, while the two LEON3 processors operate as masters. The design is implemented based on an RTL-to-GDSII flow. The design s VHDL code is automatically generated using the Aeroflex Gaisler Research [13] tools. The synthesis and place-and-route steps are performed in the Design Compiler and SoC Encounter tools respectively, based on the CMOS 90nm Synopsys SAED standardcell library, under nominal operating conditions. Also, the caches are mapped to the 32x128-bit and 8x128-bit SRAM cells of the library, while the 32x16-bit cells are used for the register files and the 32x64-bit cells for the four DSU memory blocks. For the post-layout static timing analysis, the post-layout Verilog netlist, derived from SoC Encounter, is back-annotated to Design Compiler, along with the parasitic information, included in the initial SPEF file. The minimum clock period at which design operates is 4.5ns and the setup timing slack of the critical path is 0.03ns. 5.2 Experimental results and discussion However, the complexity of the specific design and mainly, the small setup timing slack of the longest path motivated us to look also at sub-critical register-to-register paths, as a possible delay overhead due to EM could lead to timing violations. Thus, we extracted all the paths with slack less than 1ns, sorting them with descending order, depending on the slack.

9 Among those, the four more critical register-to-register paths, namely those with the less setup timing slack, were selected for the EM analysis. All the chosen paths start from a DSU register and they come through the first LEON3 processor, namely CPU0, before returning back again to another DSU flip-flop. It is noted that the corresponding paths from the DSU to the second LEON3 core, namely CPU1, are more relaxed, as their minimum slack starts from 0.95ns. This is due to the floorplan of the design, as DSU is placed closer to CPU1 rather than to CPU0 on the core area. For the experimental setup, the temperature used to derive the specific measurements was 373K (100 degrees), instead of the nominal value of 273K (25 degrees), as long as in MP-SoC based systems like our case study, temperature may be greater than the nominal value, when different tasks are executed in parallel by the two processors. In Table 1, we demonstrate the results from the EM analysis framework, for each of the selected four paths. The measurements include the timing slack before and after the EM impact annotation, considering the minimum clock period of 4.5ns as the timing constraint. The table also shows the additional delay, given in ns, that EM imposes on each path, along with the (%) percentage of delay degradation. Table 1. Delay degradation in the selected paths before and after the EM impact annotation. Examined Initial Delay Initial Slack Delay Delay path delay with EM Slack with EM overhead overhead (%) critical path path path path From Table 1, it is shown that in all the selected paths, the timing constraint is violated after the annotation of the updated resistances of wires affected by EM. Furthermore, the delay overhead because of EM is not the same at each selected path, as paths with larger initial setup slack show now larger delay degradation, compared to the critical one. Hence, the four paths are not affected in a uniform way, while their additional delay overhead is not correlated with their initial delay. This is due to the fact that the physical dimensions of wires in different paths are not the same, as well as the number of wires exceeding Blech Length. Also, there can be wires in sub-critical paths showing a larger resistance jump due to EM and hence, incurring larger delay, compared to those on the critical path. Such an effect is demonstrated in Table 1, where path2 shows the larger delay degradation, with a 24.64% percentage of additional delay overhead, and becomes now the critical path. The second more critical is path4 with a delay overhead of 19.75%, while the initially longest path becomes the third more critical, after the EM impact annotation. Thus, according to the results of Table 1, initially sub-critical paths should also be explored in complex designs, as they may become critical after a short period of time, because of the EM. This heterogeneous impact of EM is also depicted in Table 2, showing that the different number of wires longer than the Blech Length per path, along with the maximum R step, the minimum t 50 and the corresponding R slope, and it motivates the future extensions of this work, described in the concluding section.

10 Table 2. The EM framework results for the selected paths, where the similarity in R slope and t 50 is due to the fact that some parts of the four paths come through the same nets in the design. Examined Maximum Minimum t 50 R slope No. of wires over path R step (Ω) (years) (Ω/year) Blech Length critical path path path path Conclusion and hints for future work In this work, we presented an interconnect reliability framework that focuses on the impact of EM on the most timing-critical paths of a LEON3-based MP-SoC system and estimates the system s performance degradation over time, due to the increasing resistances on wires. This flow can be extended by estimating the EM influence on the paths of the two processors, considering the temperature variations across the design. Hence, a task migration scheme could be used, to distribute the temperature of each processor, thereby reducing the impact of EM on the respective timing paths. References 1. International Technology Roadmap for Semiconductors (ITRS), 2. R.Tu at al., Berkeley reliability tools-bert, IEEE TCAD, vol. 12, no.10, J. Hall et al., Spider-a cad system for modeling vlsi metallization patterns, IEEE TCAD, vol. 6, no. 6, April D. Frost et al., Reliant: a reliability analysis tool for vlsi interconnect, IEEE JSSC, T. Simunic et al., Optimization of reliability and power consumption in systems on chip, in Proceedings of PATMOS A.K et al., A simulation methodology for reliability analysis in multi-core socs, in Proceedings of the GLSVLSI J. Shin et al., A framework for architecture-level lifetime reliability modeling, in Proceedings of IEEE/IFIP DSN S. Alam et al., Circuit level reliability analysis of Cu interconnects, in Proc. ISQED S. Alam et al., Reliability computer-aided design tool for full-chip electromigration analysis and comparison with different interconnect metallizations, Microelectronics Journal 38, X. Xuan et al., Aret for system level ic reliability simulation, in Proc. of the IRPS J. Guo et al., A Tool Flow for Predicting System Level Timing Failures due to Interconnect Reliability Degradation, in Proceedings of the GLSVLSI J. Guo et al., The Analysis of system level timing failures due to interconnect reliability degradation, IEEE Transactions on Device and Material Reliability, Aeroflex Gaisler Research, Synopsys Design Compiler manual, Cadence SoC Encounter manual,

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 6, JUNE 2005 849 Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Backend Low-k TDDB Chip Reliability Simulator

Backend Low-k TDDB Chip Reliability Simulator Backend Low-k TDDB Chip Reliability Simulator Muhammad Bashir, Dae Hyun Kim, Krit Athikulwongse, Sung Kyu Lim and Linda Milor School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Static Electromigration Analysis for On-Chip Signal Interconnects

Static Electromigration Analysis for On-Chip Signal Interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 39 Static Electromigration Analysis for On-Chip Signal Interconnects David T. Blaauw, Member,

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation How to create designs with Dynamic/Adaptive Voltage Scaling Roy H. Liu National Semiconductor orporation What you will learn from this session Design challenges with variable voltage level Design partitioning

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

THERMAL MODELING OF INTEGRATED CIRCUITS IN DIGITAL SIMULATION ENVIRONMENTS

THERMAL MODELING OF INTEGRATED CIRCUITS IN DIGITAL SIMULATION ENVIRONMENTS U.P.B. Sci. Bull., Series C, Vol. 75, Iss. 4, 2013 ISSN 2286 3540 THERMAL MODELING OF INTEGRATED CIRCUITS IN DIGITAL SIMULATION ENVIRONMENTS Vlad MOLEAVIN 1, Ovidiu-George PROFIRESCU 2, Marcel PROFIRESCU

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Static Electromigration Analysis for Signal Interconnects

Static Electromigration Analysis for Signal Interconnects Static Electromigration Analysis for Signal Interconnects Chanhee Oh, David Blaauw*, Murat Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta** Motorola, Inc, Austin TX, *University of Michigan,

More information

The Linear-Feedback Shift Register

The Linear-Feedback Shift Register EECS 141 S02 Timing Project 2: A Random Number Generator R R R S 0 S 1 S 2 1 0 0 0 1 0 1 0 1 1 1 0 1 1 1 0 1 1 0 0 1 1 0 0 The Linear-Feedback Shift Register 1 Project Goal Design a 4-bit LFSR SPEED, SPEED,

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

Problem Formulation for Arch Sim and EM Model

Problem Formulation for Arch Sim and EM Model Problem Formulation for Arch Sim and EM Model 1 Problem Formulation 1.1 System Description The system consists of M modules, each module has L wire segments. The wire segments are routed in same or different

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability

Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Post-Routing Back-End-Of-Line Layout Optimization for Improved Time-Dependent Dielectric Breakdown Reliability Abstract Tuck-Boon Chan and Andrew B. Kahng ECE and CSE Depts., University of California at

More information

Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions

Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions Author manuscript, published in "Microelectronics Reliability vol.47 (7) pp.173-1734" Trench IGBT failure mechanisms evolution with temperature and gate resistance under various short-circuit conditions

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Assessment of Current Density Singularity in Electromigration of Solder Bumps

Assessment of Current Density Singularity in Electromigration of Solder Bumps Assessment of Current Density Singularity in Electromigration of Solder Bumps Pridhvi Dandu and Xuejun Fan Department of Mechanical Engineering Lamar University PO Box 10028, Beaumont, TX 77710, USA Tel:

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices? EECS 498/598: Nanocircuits and Nanoarchitectures Lecture 1: Introduction to Nanotelectronic Devices (Sept. 5) Lectures 2: ITRS Nanoelectronics Road Map (Sept 7) Lecture 3: Nanodevices; Guest Lecture by

More information

Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration

Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration Circuit Delay Variability Due to Wire Resistance Evolution Under AC Electromigration Vivek Mishra and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Minneapolis,

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 51 (2011) 1582 1586 Contents lists available at ScienceDirect Microelectronics Reliability journal homepage www.elsevier.com/locate/microrel Impact of irregular geometries

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University it Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza New Exploration Frameworks for Temperature-Aware Degn of MPSoCs Prof. David Atienza Dept Computer Architecture and Systems Engineering (DACYA) Complutense Univerty of Madrid, Spain Integrated Systems Lab

More information

Itanium TM Processor Clock Design

Itanium TM Processor Clock Design Itanium TM Processor Design Utpal Desai 1, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu Intel Corporation, M/S SC12-502, 2200 Mission College Blvd, Santa Clara, CA 95052 ABSTRACT The Itanium processor

More information

Backend Dielectric Chip Reliability Simulator for Complex Interconnect Geometries

Backend Dielectric Chip Reliability Simulator for Complex Interconnect Geometries Backend Dielectric Chip Reliability Simulator for Complex Interconnect Geometries Chang-Chih Chen, Muhammad Bashir, Linda Milor, Dae Hyun Kim, and Sung Kyu Lim School of Electrical and Comptuer Engineering

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs ASP-DAC 2006 Session 8C-5: Inductive Issues in Power Grids and Packages Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs Authors: Brock J. LaMeres Agilent Technologies Kanupriya

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

Lecture 24. CMOS Logic Gates and Digital VLSI II

Lecture 24. CMOS Logic Gates and Digital VLSI II ecture 24 CMOS ogic Gates and Digital VSI II In this lecture you will learn: Static CMOS ogic Gates FET Scaling CMOS Memory, SRM and DRM CMOS atches, and Registers (Flip-Flops) Clocked CMOS CCDs CMOS ogic:

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

Lecture 8-1. Low Power Design

Lecture 8-1. Low Power Design Lecture 8 Konstantinos Masselos Department of Electrical & Electronic Engineering Imperial College London URL: http://cas.ee.ic.ac.uk/~kostas E-mail: k.masselos@ic.ac.uk Lecture 8-1 Based on slides/material

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects Lecture 5 MOS Inverter: Switching Characteristics and Interconnection Effects Introduction C load = (C gd,n + C gd,p + C db,n + C db,p ) + (C int + C g ) Lumped linear capacitance intrinsic cap. extrinsic

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Topics to be Covered. capacitance inductance transmission lines

Topics to be Covered. capacitance inductance transmission lines Topics to be Covered Circuit Elements Switching Characteristics Power Dissipation Conductor Sizes Charge Sharing Design Margins Yield resistance capacitance inductance transmission lines Resistance of

More information

Study of Electromigration of flip-chip solder joints using Kelvin probes

Study of Electromigration of flip-chip solder joints using Kelvin probes Study of Electromigration of flip-chip solder joints using Kelvin probes Y. W. Chang and Chih Chen National Chiao Tung University, Department of Material Science & Engineering, Hsin-chu 30010, Taiwan,

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

IN the past, circuit delay has been due mostly to transistors.

IN the past, circuit delay has been due mostly to transistors. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 3, MARCH 1998 449 Investigation of Interconnect Capacitance Characterization Using Charge-Based Capacitance Measurement (CBCM) Technique and Three-Dimensional

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 18 CMOS Sequential Circuits - 1 guntzel@inf.ufsc.br

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information