Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Size: px
Start display at page:

Download "Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space"

Transcription

1 Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, John Lillis 2, Chung-Kuan Cheng Department of Computer Science and Engineering University of California, San Diego La Jolla, CA Department of Computer Science University of Illinois at Chicago Chicago, IL 60607

2 Outline Previous Works and Motivation Area/Timing/Power Model ILP Formulation of Prefix Adder Experimental Results Conclusions 2

3 3 Previous Works and Motivation i i i i i i b a p b a g = = Pre-processing: Post-processing: Prefix Computation: i i i i i i c p s c P G c = + = + 0 :0] [ :0] [ ] : [ ] : [ ] : [ ] : [ ] : [ ] : [ ] : [ k j j i k i k j j i j i k i P P P G P G G = + = Parallel prefix adder is the most flexible and widely-used binary adder for ASIC designs. Prefix network formulation:

4 Previous Works and Motivation Each output network is an alphabetical tree: Output i is the root of a binary tree covering inputs -i. An in-depth traversal of the tree terminals follows the sequence of the inputs : 3: 2: (a) j 2 3 4: 3: 2: (b) 4

5 Previous Works and Motivation : 7: 6: 5: 4: 3: 2: 8: 7: 6: 5: 4: 3: 2: 8: 7: 6: 5: 4: 3: 2: * Brent-Kung: Logical levels: 2log 2 n Max fanouts: 2 Wire tracks: Sklansky: Logical levels: log 2 n Max fanouts: n/2 Wire tracks: Kogge-Stone: Logical levels: log 2 n Max fanouts: 2 Wire tracks: n/2 * Max Fanouts is based on the regular buffer insertions at all empty space 5

6 Previous Works and Motivation Max Fanout The design space of prefix adder is considered as the tradeoff among logical levels, max fanouts and wire tracks. * Harris D, A Taxonomy of Parallel Prefix Networks Nov Logical Levels Logical levels: Max fanouts Wire tracks l + f + t Timing: L F Dgp L = log : F = 2 + t : T = 2 = log n 2 f 2 n + l (Dgp: delay of one GP adder with unit load) Wire Tracks Area: L (Hgp+T Hwt) n (Hgp: Height of one GP adder Hwt: Height of one wire track) Favor the minimal logical levels 6

7 Previous Works and Motivation Increasing impact of physical design. Power becomes a critical concern. Power Area Physical placement Detail routing Power gating Dynamic power Activity Static power Probability Logical Fanouts Levels Gate Cap Wire Cap Wire Tracks Input arrival Output Buffer time require time insertion Gate sizing Signal slope New Design Scope Timing 7

8 Previous Works and Motivation Input: bit width, physical area, input arrival times, output required times. Output: placed prefix adder Constraint: alphabetical tree rooted at each output i to cover inputs to area and timing requirements Objective: minimize power consumption 8

9 Models Area Model Distinguish physical placement from logical structure, but keep the bit-slice structure. Bit position Bit position Physical level Logical level Logical view of Brent-Kung adder Compact placement Physical view of Brent-Kung adder A = n m n: Bit width m: Physical depth 9

10 Models Timing Model Cload includes both gate and wire capacitance. Wire capacitance is proportional to wire length. C load = C wire + C gate C wire = λ w (H bb + W bb ) C gate = Σ C in (λ w = 0.5) Use a linear timing model derived from logical effort. GP l GP r Delay_GP l =.5 C load Delay_GP r = 2.0 C load W bb GP H bb logical connection bounding box real wire * Harris D, Sutherland I, Logical Effort of Carry Propagate Adders,

11 Models Power Model Total power consumption: Dynamic power + Static Power Static power: leakage current of device P sta = λ s (λ s = 0.5) Dynamic power: current switching capacitance P dyn = ρ C load ρ is the switching probability ρ = j (j is the logical level*) P total = P dyn + P sta = j C load λ s + * Vanichayobon S, etc, Power-speed Trade-off in Parallel Prefix Circuits, 2002

12 ILP on Prefix Adder Overall Picture We propose to formulate prefix computation as Integer Linear Programming (ILP) problem. Optimum solution can be produced by contemporary ILP solver. Structure variables: GP adders Connections Physical positions Capacitance variables: Gate cap Vertical wire cap Horizontal wire cap Timing variables: Input arrival time Output arrival time Power objective Structure variables defines the ILP solution space 2

13 ILP Linear Programming Linear Programming: linear constraints, linear objective, fractional variables. Maximize: x + 2 x x 3 subject to: -x + x 2 + x 3 20 x 3 x x x 0 x Constraints Solution Space Objective LP Optimal LP problems are polynomial time solvable (interior point algorithm, Karmarkar 984) 3

14 ILP Integer Linear Programming Integer Linear Programming: all variables are integers. Constraints Objective LP Optimal ILP Optimal ( nearest feasible integer solution) ILP problem with bounded variables is NP-hard. 4

15 ILP Branch and Bound Brach and bound with linear relaxation algorithm in ILP solvers: Minimize F(b, b 2, b 3, b 4, f, ) b i is binary infeasible Root (all vars are fractional) Cut b b 2 feasible (current best) Bound (Smallest candidate) infeasible b 3 b 4 It is VERY helpful if ILP objective 5 is close to LP objective

16 ILP Pseudo-Linear Constraint A constraint is called pseudo-linear if it s not effective until some integer variables are fixed. Problem: Minimize: x 3 Subject to: x 300 x x 3 = min(x, x 2 ) LP objective: 0 ILP objective: 300 ILP formulation: Minimize: x 3 Subject to: x 300 x x 3 x x 3 x 2 x 3 x 000 b () x 3 x ( b ) (2) b is binary Pseudo-linear constraints mostly arise from IF/ELSE scenarios binary decision variables are introduced to indicate true or false. 6

17 ILP Summary Integer Linear Programming is a powerful solution space search tool guided by Linear Programming. However, pseudo-linear constraints may compromise the efficiency. 7

18 ILP on Prefix Adder Structure ILP decision variables represent GP adders and interconnects in logical view. Alphabetical tree rooted at each output. Each GP adder has exact one left input and one right input. (fanin const.) At least one input is from the previous level. (logical level const.) Every GP adder roots an alphabetical tree covering a continuous segment. (root const.) 8

19 ILP on Prefix Adder Structure Variables: gp( {0,}: GP adders in the n d array (d: logical depth) wl(j,h) {0,}: The wire from (h) to the left fanin of ( wr(j,k,l) {0,}: The wire from (k,l) to the right fanin of ( Constraints: (fanin const.) One left/right fanin for each GP adder wl(j,h) = gp( ( i > h h (k,l) wr(j,k,l) = gp( ( i > k & j > l (logical level const.) At least one fanin from the previous level wl( j, j ) + wr(j,k,j ) gp( ( k 9

20 ILP on Prefix Adder Structure The segment information is necessary for root constraint. The GP segments of two children must be adjacent. Variables: gpl(, gpr( int [,n]: Constraints: The segment covered by gp( is [gpl(:gpr(] (root const.) The GP segments of two children must be adjacent gpl ( = gpl( h) if wl( j, h) = () gpr ( = gpr( k, l) if wr( j, k, l) = gpr( h) = gpl( k, l) + if wl( j, h) = & wr( j, k, l) = Conditional constraint () in ILP formulation: gpl( gpl( gpl( h) n ( wl( gpl( h) + n ( wl( j, h)) j, h)) 20

21 ILP on Prefix Adder Structure Physical position variable attached to each GP adder describes physical level. No overlap in the physical view. (overlap const.) Variables: phy( int [,m]: The physical position of gp( is (phy(). (m: physical depth) Constraints: (overlap const.) Each physical position contains at most one GP adder phy( phy( h) j h 2

22 ILP on Prefix Adder Example gp(2,)=, wl(2,,0)=, wr(2,,,0)= gp(3,2)=, wl(3,2,0)=, wr(3,2,2,)= gp(4,3)=, wl(4,3,0)=, wr(4,3,3,2)= n i n [gpl(2,):gpr(2,)] = [2:] [gpl(3,2):gpr(3,2)] = [3:] [gpl(4,3):gpr(4:3)] = [4:] j phy(2,)= phy(3,2)= phy(4,3)= d 4: 3: 2: m 4: 3: 2: Logical view Physical view 22

23 ILP on Prefix Adder Capacitance Gate capacitance is calculated based on logical fanouts. Gate cap equals to the number of fanouts, when input cap of GP adder is unit. (gate const.) Wire capacitance depends on physical placement. Vertical wire cap is proportional to the max vertical height of each fanout. (wire const.) Horizontal wire cap is proportional to the max horizontal width of each fanout. (wire const.) 23

24 ILP on Prefix Adder Capacitance Variables: Cg( float: Gate load capacitance of ( Cwv( float: Vertical wire load capacitance of ( Cwh( float: Horizontal wire load capacitance of ( Constraints: (gate const.) Gate load capacitance: Cg( wl( h, wr( k, l, = + ( k, l) (wire const.) Wire load capacitances: w Cwv( λ ( phy( h) phy( ) w Cwh( λ ( k h w Cwv( λ ( phy( k, l) phy( ) if i) if wl( h, = if (λ w = 0.5) wr( k, l, = wr( k, l, = 24

25 ILP on Prefix Adder Timing The output time is the max path delay. (output const.) Input arrival times equal to the output times of two children. (input const.) According to the timing model, gate delay is calculated based on load capacitance. Delay_GP l =.5 C load Delay_GP r = 2.0 C load

26 ILP on Prefix Adder Timing Variables: Tl( float: Left input arrival time of ( Tr( float: Right input arrival time of ( T( float [0,Tmax]: Output time of ( (Tmax: Output required time.) Constraints: (input const.) Input arrival times: Tl( = T ( h) if wl( Tr( = T ( k, l) if wr( j, k, l) = (output const.) Output time: T ( Tl( +.5 Cload( j, h) = T ( Tr( Cload( ( Cload( = Cg( + Cwv( + Cwh( ) 26

27 ILP on Prefix Adder Power Total power consumption is the summation of power consumption on each GP adder. The objective is to minimize total power consumption. Minimize : ( j Cload ( + S λ gp( 27

28 ILP on Prefix Adder Example Cg(2,)=, Cwv(2,)=0, Cwh(2,)=0.5 Cload(2,)=.5 Cg(3,2)=, Cwv(3,2)=0.5, Cwh(3,2)=0.5 Cload(3,2)=2 Cg(4,3)=0, Cwv(4,3)=0, Cwh(4,3)=0 Cload(4,3)=0 n i n 0 2 j Tl(2,)=0, Tr(2,)=0, T(2,)= =5.5 Tl(3,2)=0, Tr(3,2)=5.5, T(3,2)= =2 Tl(4,3)=0, Tr(4,3)=2, T(4,3)= = : 3: 2: d 2 4: 3: 2: m Power = Cload(2,) + 2 Cload(3,2) + 3 Cload(4,3) = 4.5 Logical view Physical view 28

29 ILP on Prefix Adder Extension Gate sizing and buffer insertion are two important optimization technologies to improve performance. Gate sizing: decrease gate delay, increase input capacitance. Buffer insertion: introduce new element, impact placement. Gate sizing and buffer insertion can be supported by ILP formulation. 29

30 Experimental Results Optimum prefix adders solved by CPLEX 9. 8-bit prefix adders Uniform input arrival time Non-uniform input arrival time Hierarchical 64-bit prefix adders 64-bit prefix adder implementation (Synopsys flow, TSMC 90nm technology) Module Compiler Astro Prime Power 30

31 Experimental Results 8-bit Uniform Method Timing Depth Power CPU Method Timing Depth Power CPU (D FO4 ) (P FO4 ) (s) (D FO4 ) (P FO4 ) (s) ILP K-S ILP ILP ILP (S) ILP ILP ILP (S) ILP (S) ILP ILP ILP (S) B-K ILP ILP ILP ILP ILP (S) Skl ILP (S) * (S): Gate sizing, B-K: Brent-Kung, Skl: Sklansky, K-S: Kogge-Stone 3

32 Experimental Results 8-bit Uniform 32

33 Experimental Results 8-bit Uniform Some typical ILP results: Fastest depth2 Fastest depth3 Fastest depth4 All the 8-bit fastest prefix adders have 4 logical levels 33

34 Experimental Results 8-bit Non-Uniform Case Power Depth Power* Depth* Increasing arrival times Decreasing arrival times Convex arrival times Increasing arrival times Decreasing arrival times Convex arrival times * Use the worst input arrival time for all inputs 34

35 Experimental Results 64-bit Hierarchical For high bit-width application, ILP method can be applied in a hierarchical design strategy. 35

36 Experimental Results 64-bit Hierarchical Hierarchical ILP designs in solution space: (The physical depth is set to 6) Method Timing Power Method Timing Power (D FO4 ) (P FO4 ) (D FO4 ) (P FO4 ) Hierarchical ILP Hierarchical ILP Brent-Kung Sklansky Hierarchical ILP Hierarchical ILP Hierarchical ILP Hierarchical ILP 5 46 Hierarchical ILP Kogge-Stone Hierarchical ILP Hierarchical ILP

37 Experimental Results 64-bit Hierarchical The power of Kogge-Stone add is much 37 larger than other prefix adders.

38 Experimental Results 64-bit Hierarchical The fastest 64-bit hierarchical ILP adder: ( 8) ( 8) Hierarchical ILP level (Physical view) Hierarchical ILP level 2 (Physical view) 38

39 Experimental Results 64-bit Implementation 64-bit ILP prefix adders compared with 64-bit fast prefix adders generated by Module Compiler with relative placement. ILP Module Compiler Power Timing (ns) Total Power [Wire Power] (mw) Timing (ns) Total Power [Wire Power] (mw) Saving [Wire] (%) [0.93] [2.8] 6% [67%] [0.90] [2.] 49% [57%].3.5 [0.65] [.5] 50% [57%] 39

40 Experimental Results 64-bit Implementation 64-bit ILP Prefix Adder Physical View 64-bit MC Prefix Adder Physical View 40

41 Conclusions We propose an ILP method to solve minimal power prefix adders. The comprehensive area/timing/power model involves physical placement, gate/wire capacitance and static/dynamic power consumption. The ILP method can handle gate sizing, buffer insertion for both uniform and non-uniform input arrival time applications. The ILP method can be applied in hierarchical design methodology for high bit-width applications. 4

42 42

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space

Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space Jianhua Liu, Yi Zhu, Haikun Zhu, Chung-Kuan Cheng Department of Computer Science and Engineering University of California, San

More information

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10,

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10, A NOVEL DOMINO LOGIC DESIGN FOR EMBEDDED APPLICATION Dr.K.Sujatha Associate Professor, Department of Computer science and Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, Tamilnadu,

More information

ECE 645: Lecture 3. Conditional-Sum Adders and Parallel Prefix Network Adders. FPGA Optimized Adders

ECE 645: Lecture 3. Conditional-Sum Adders and Parallel Prefix Network Adders. FPGA Optimized Adders ECE 645: Lecture 3 Conditional-Sum Adders and Parallel Prefix Network Adders FPGA Optimized Adders Required Reading Behrooz Parhami, Computer Arithmetic: Algorithms and Hardware Design Chapter 7.4, Conditional-Sum

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Where are we? Data Path Design

Where are we? Data Path Design Where are we? Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath Data Path Design

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan Where are we? Data Path Design Subsystem Design Registers and Register Files dders and LUs Simple ripple carry addition Transistor schematics Faster addition Logic generation How it fits into the datapath

More information

Hw 6 due Thursday, Nov 3, 5pm No lab this week

Hw 6 due Thursday, Nov 3, 5pm No lab this week EE141 Fall 2005 Lecture 18 dders nnouncements Hw 6 due Thursday, Nov 3, 5pm No lab this week Midterm 2 Review: Tue Nov 8, North Gate Hall, Room 105, 6:30-8:30pm Exam: Thu Nov 10, Morgan, Room 101, 6:30-8:00pm

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Lecture 4. Adders. Computer Systems Laboratory Stanford University

Lecture 4. Adders. Computer Systems Laboratory Stanford University Lecture 4 Adders Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2006 Mark Horowitz Some figures from High-Performance Microprocessor Design IEEE 1 Overview Readings Today

More information

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7

EECS 427 Lecture 8: Adders Readings: EECS 427 F09 Lecture 8 1. Reminders. HW3 project initial proposal: due Wednesday 10/7 EECS 427 Lecture 8: dders Readings: 11.1-11.3.3 3 EECS 427 F09 Lecture 8 1 Reminders HW3 project initial proposal: due Wednesday 10/7 You can schedule a half-hour hour appointment with me to discuss your

More information

Lecture 11: Adders. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 11: Adders. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture : dders Slides courtesy of Deming hen Slides based on the initial set from David Harris MOS VLSI Design Outline Single-bit ddition arry-ripple dder arry-skip dder arry-lookahead dder arry-select

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Homework 4 due today Quiz #4 today In class (80min) final exam on April 29 Project reports due on May 4. Project presentations May 5, 1-4pm

Homework 4 due today Quiz #4 today In class (80min) final exam on April 29 Project reports due on May 4. Project presentations May 5, 1-4pm EE241 - Spring 2010 Advanced Digital Integrated Circuits Lecture 25: Digital Arithmetic Adders Announcements Homework 4 due today Quiz #4 today In class (80min) final exam on April 29 Project reports due

More information

Interconnect (2) Buffering Techniques. Logical Effort

Interconnect (2) Buffering Techniques. Logical Effort Interconnect (2) Buffering Techniques. Logical Effort Lecture 14 18-322 Fall 2002 Textbook: [Sections 4.2.1, 8.2.3] A few announcements! M1 is almost over: The check-off is due today (by 9:30PM) Students

More information

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

C.K. Ken Yang UCLA Courtesy of MAH EE 215B Decoders: Logical Effort Applied C.K. Ken Yang UCLA yang@ee.ucla.edu Courtesy of MAH 1 Overview Reading Rabaey 6.2.2 (Ratio-ed logic) W&H 6.2.2 Overview We have now gone through the basics of decoders,

More information

A General Method for Energy-Error Tradeoffs in Approximate Adders

A General Method for Energy-Error Tradeoffs in Approximate Adders A General Method for Energy-Error Tradeoffs in Approximate Adders Zvi M. Kedem Kirthi Krishna Muntimadugu NYU CS Technical Report TR-2013-952 March 15, 2013 Abstract Approximate adders are adders with

More information

ECE429 Introduction to VLSI Design

ECE429 Introduction to VLSI Design ECE429 Introduction to VLSI Design Lecture 5: LOGICAL EFFORT Erdal Oruklu Illinois Institute of Technology Some of these slides have been adapted from the slides provided by David Harris, Harvey Mudd College

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Arithmetic Building Blocks

Arithmetic Building Blocks rithmetic uilding locks Datapath elements dder design Static adder Dynamic adder Multiplier design rray multipliers Shifters, Parity circuits ECE 261 Krish Chakrabarty 1 Generic Digital Processor Input-Output

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1 5.0 CMOS Inverter W.Kucewicz VLSICirciuit Design 1 Properties Switching Threshold Dynamic Behaviour Capacitance Propagation Delay nmos/pmos Ratio Power Consumption Contents W.Kucewicz VLSICirciuit Design

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering CMOS logic gates João Canas Ferreira University of Porto Faculty of Engineering March 2016 Topics 1 General structure 2 General properties 3 Cell layout João Canas Ferreira (FEUP) CMOS logic gates March

More information

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1

VLSI Design. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 VLSI Design Adder Design [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] ECE 4121 VLSI DEsign.1 Major Components of a Computer Processor Devices Control Memory Input Datapath

More information

VLSI Design, Fall Logical Effort. Jacob Abraham

VLSI Design, Fall Logical Effort. Jacob Abraham 6. Logical Effort 6. Logical Effort Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 207 September 20, 207 ECE Department, University of

More information

CSE477 VLSI Digital Circuits Fall Lecture 20: Adder Design

CSE477 VLSI Digital Circuits Fall Lecture 20: Adder Design CSE477 VLSI Digital Circuits Fall 22 Lecture 2: Adder Design Mary Jane Irwin ( www.cse.psu.edu/~mji ) www.cse.psu.edu/~cg477 [Adapted from Rabaey s Digital Integrated Circuits, 22, J. Rabaey et al.] CSE477

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2017 Midterm 2 Monday, November 6 Point values

More information

Parallelism in Computer Arithmetic: A Historical Perspective

Parallelism in Computer Arithmetic: A Historical Perspective Parallelism in Computer Arithmetic: A Historical Perspective 21s 2s 199s 198s 197s 196s 195s Behrooz Parhami Aug. 218 Parallelism in Computer Arithmetic Slide 1 University of California, Santa Barbara

More information

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA Logical Effort: Designing for Speed on the Back of an Envelope David Harris David_Harris@hmc.edu Harvey Mudd College Claremont, CA Outline o Introduction o Delay in a Logic Gate o Multi-stage Logic Networks

More information

Switching Activity Calculation of VLSI Adders

Switching Activity Calculation of VLSI Adders Switching Activity Calculation of VLSI Adders Dursun Baran, Mustafa Aktan, Hossein Karimiyan and Vojin G. Oklobdzija School of Electrical and Computer Engineering The University of Texas at Dallas, Richardson,

More information

Optimal Folding Of Bit Sliced Stacks+

Optimal Folding Of Bit Sliced Stacks+ Optimal Folding Of Bit Sliced Stacks+ Doowon Paik University of Minnesota Sartaj Sahni University of Florida Abstract We develop fast polynomial time algorithms to optimally fold stacked bit sliced architectures

More information

Arithmetic Circuits-2

Arithmetic Circuits-2 Arithmetic Circuits-2 Multipliers Array multipliers Shifters Barrel shifter Logarithmic shifter ECE 261 Krish Chakrabarty 1 Binary Multiplication M-1 X = X i 2 i i=0 Multiplicand N-1 Y = Y i 2 i i=0 Multiplier

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković

EE M216A.:. Fall Lecture 5. Logical Effort. Prof. Dejan Marković EE M26A.:. Fall 200 Lecture 5 Logical Effort Prof. Dejan Marković ee26a@gmail.com Logical Effort Recap Normalized delay d = g h + p g is the logical effort of the gate g = C IN /C INV Inverter is sized

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- pring 2004 Digital Integrated ircuits Lecture 19 Dynamic Logic - Adders (that is wrap-up) 1 Administrative tuff Hw 6 due on Th No lab this week Midterm 2 next week Project 2 to be launched week

More information

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model

ECE 342 Electronic Circuits. Lecture 35 CMOS Delay Model ECE 34 Electronic Circuits Lecture 35 CMOS Delay Model Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 34 Jose Schutt Aine 1 Digital Circuits V IH : Input

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

Name: Answers. Mean: 38, Standard Deviation: 15. ESE370 Fall 2012

Name: Answers. Mean: 38, Standard Deviation: 15. ESE370 Fall 2012 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2012 Final Friday, December 14 Problem weightings

More information

NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or

NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or other reproductions of copyrighted material. Any copying

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 9 Propagation delay Power and delay Tradeoffs Follow board notes Propagation Delay Switching Time

More information

Linear integer programming and its application

Linear integer programming and its application Linear integer programming and its application Presented by Dr. Sasthi C. Ghosh Associate Professor Advanced Computing & Microelectronics Unit Indian Statistical Institute Kolkata, India Outline Introduction

More information

Comparative analysis of QCA adders

Comparative analysis of QCA adders International Journal of Electrical Electronics Computers & Mechanical Engineering (IJEECM) ISSN: 2278-2808 Volume 5 Issue 12 ǁ December. 2017 IJEECM journal of Electronics and Communication Engineering

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

Gestion de la production. Book: Linear Programming, Vasek Chvatal, McGill University, W.H. Freeman and Company, New York, USA

Gestion de la production. Book: Linear Programming, Vasek Chvatal, McGill University, W.H. Freeman and Company, New York, USA Gestion de la production Book: Linear Programming, Vasek Chvatal, McGill University, W.H. Freeman and Company, New York, USA 1 Contents 1 Integer Linear Programming 3 1.1 Definitions and notations......................................

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

Bit-Sliced Design. EECS 141 F01 Arithmetic Circuits. A Generic Digital Processor. Full-Adder. The Binary Adder

Bit-Sliced Design. EECS 141 F01 Arithmetic Circuits. A Generic Digital Processor. Full-Adder. The Binary Adder it-liced Design Control EEC 141 F01 rithmetic Circuits Data-In Register dder hifter it 3 it 2 it 1 it 0 Data-Out Tile identical processing elements Generic Digital Processor Full-dder MEMORY Cin Full adder

More information

Chapter 5 Arithmetic Circuits

Chapter 5 Arithmetic Circuits Chapter 5 Arithmetic Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 11, 2016 Table of Contents 1 Iterative Designs 2 Adders 3 High-Speed

More information

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout 0/6/06 Homework # Lecture 8, 9: Sizing and Layout of omplex MOS Gates Reading: hapter 4, sections 4.3-4.5 October 3 & 5, 06 hapter, section.5.5 Prof. R. Iris ahar Weste & Harris vailable on course webpage

More information

Logical Effort. Sizing Transistors for Speed. Estimating Delays

Logical Effort. Sizing Transistors for Speed. Estimating Delays Logical Effort Sizing Transistors for Speed Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1

More information

Lecture 5. Logical Effort Using LE on a Decoder

Lecture 5. Logical Effort Using LE on a Decoder Lecture 5 Logical Effort Using LE on a Decoder Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 00 by Mark Horowitz Overview Reading Harris, Logical Effort

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Analysis and Design of Digital Integrated Circuits (6.374) - Fall 2003 Quiz #2 Prof. Anantha Chandrakasan

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 10 Combinational CMOS Logic Circuits Lec 10 Combinational CMOS Logic Circuits 1 Combinational vs. Sequential Logic In Combinational Logic circuit Out In Combinational Logic circuit Out State Combinational The output is determined only by

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

EE 447 VLSI Design. Lecture 5: Logical Effort

EE 447 VLSI Design. Lecture 5: Logical Effort EE 447 VLSI Design Lecture 5: Logical Effort Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary EE 4475: VLSI Logical Design Effort

More information

Design and Implementation of Efficient Modulo 2 n +1 Adder

Design and Implementation of Efficient Modulo 2 n +1 Adder www..org 18 Design and Implementation of Efficient Modulo 2 n +1 Adder V. Jagadheesh 1, Y. Swetha 2 1,2 Research Scholar(INDIA) Abstract In this brief, we proposed an efficient weighted modulo (2 n +1)

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Travelling Salesman Problem

Travelling Salesman Problem Travelling Salesman Problem Fabio Furini November 10th, 2014 Travelling Salesman Problem 1 Outline 1 Traveling Salesman Problem Separation Travelling Salesman Problem 2 (Asymmetric) Traveling Salesman

More information

CS 140 Lecture 14 Standard Combinational Modules

CS 140 Lecture 14 Standard Combinational Modules CS 14 Lecture 14 Standard Combinational Modules Professor CK Cheng CSE Dept. UC San Diego Some slides from Harris and Harris 1 Part III. Standard Modules A. Interconnect B. Operators. Adders Multiplier

More information

Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications

Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications Yuen-Hong Alvin Ho, Chi-Un Lei, Hing-Kit Kwan and Ngai Wong Department of Electrical and Electronic

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Lecture 23 Branch-and-Bound Algorithm. November 3, 2009

Lecture 23 Branch-and-Bound Algorithm. November 3, 2009 Branch-and-Bound Algorithm November 3, 2009 Outline Lecture 23 Modeling aspect: Either-Or requirement Special ILPs: Totally unimodular matrices Branch-and-Bound Algorithm Underlying idea Terminology Formal

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 The -bit inary dder CPE/EE 427, CPE 527 VLI Design I L2: dder Design Department of Electrical and Computer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Arithmetic Circuits-2

Arithmetic Circuits-2 Arithmetic Circuits-2 Multipliers Array multipliers Shifters Barrel shifter Logarithmic shifter ECE 261 Krish Chakrabarty 1 Binary Multiplication M-1 X = X i 2 i i=0 Multiplicand N-1 Y = Y i 2 i i=0 Multiplier

More information

EE141-Fall 2010 Digital Integrated Circuits. Announcements. An Intel Microprocessor. Bit-Sliced Design. Class Material. Last lecture.

EE141-Fall 2010 Digital Integrated Circuits. Announcements. An Intel Microprocessor. Bit-Sliced Design. Class Material. Last lecture. EE4-Fall 2 Digital Integrated ircuits dders Lecture 2 dders 4 4 nnouncements Midterm 2: Thurs. Nov. 4 th, 6:3-8:pm Exam starts at 6:3pm sharp Review session: Wed., Nov. 3 rd, 6pm n Intel Microprocessor

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

Lecture 6: Logical Effort

Lecture 6: Logical Effort Lecture 6: Logical Effort Outline Logical Effort Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of Stages Example Summary Introduction Chip designers face a bewildering array

More information

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan Combinational Logic Mantıksal Tasarım BBM23 section instructor: Ufuk Çelikcan Classification. Combinational no memory outputs depends on only the present inputs expressed by Boolean functions 2. Sequential

More information

Binary Adder Circuits of Asymptotically Minimum Depth, Linear Size, and Fan-Out Two

Binary Adder Circuits of Asymptotically Minimum Depth, Linear Size, and Fan-Out Two Binary Adder Circuits of Asymptotically Minimum Depth, Linear Size, and Fan-Out Two arxiv:1503.08659v2 [cs.ar] 17 May 2015 Stephan Held and Sophie Theresa Spirkl Research Institute for Discrete Mathematics,

More information

EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS

EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 EFFICIENT MULTIOUTPUT CARRY LOOK-AHEAD ADDERS B. Venkata Sreecharan 1, C. Venkata Sudhakar 2 1 M.TECH (VLSI DESIGN)

More information

Lecture 8: Combinational Circuit Design

Lecture 8: Combinational Circuit Design Lecture 8: Combinational Circuit Design Mark McDermott Electrical and Computer Engineering The University of Texas at ustin 9/5/8 Verilog to Gates module mux(input s, d0, d, output y); assign y = s? d

More information

DELAYS IN ASIC DESIGN

DELAYS IN ASIC DESIGN DELAYS IN ASIC DESIGN We encounter several types of delays in ASIC design. They are as follows: Gate delay or Intrinsic delay Net delay or Interconnect delay or Wire delay or Extrinsic delay or Flight

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Digital Integrated Circuits Design Perspective Jan M. Rabaey nantha Chandrakasan orivoje Nikolić Designing Combinational Logic Circuits November 2002. 1 Combinational vs. Sequential Logic In Combinational

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Properties of CMOS Gates Snapshot

Properties of CMOS Gates Snapshot MOS logic 1 Properties of MOS Gates Snapshot High noise margins: V OH and V OL are at V DD and GND, respectively. No static power consumption: There never exists a direct path between V DD and V SS (GND)

More information

Logical Effort Based Design Exploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach

Logical Effort Based Design Exploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach Logical ffort Based Design xploration of 64-bit Adders Using a Mixed Dynamic-CMOS/Threshold-Logic Approach Peter Celinski, Said Al-Sarawi, Derek Abbott Centre for High Performance Integrated Technologies

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 14 The CMOS Inverter: dynamic behavior (sizing, inverter

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Section #2: Linear and Integer Programming

Section #2: Linear and Integer Programming Section #2: Linear and Integer Programming Prof. Dr. Sven Seuken 8.3.2012 (with most slides borrowed from David Parkes) Housekeeping Game Theory homework submitted? HW-00 and HW-01 returned Feedback on

More information

ECE 5775 (Fall 17) High-Level Digital Design Automation. Scheduling: Exact Methods

ECE 5775 (Fall 17) High-Level Digital Design Automation. Scheduling: Exact Methods ECE 5775 (Fall 17) High-Level Digital Design Automation Scheduling: Exact Methods Announcements Sign up for the first student-led discussions today One slot remaining Presenters for the 1st session will

More information

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: CMOS Inverter AC Characteristics. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 8 Lecture #5: CMOS Inverter AC Characteristics Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Acknowledgments Slides due to Rajit Manohar from ECE 547 Advanced

More information

University of Toronto. Final Exam

University of Toronto. Final Exam University of Toronto Final Exam Date - Apr 18, 011 Duration:.5 hrs ECE334 Digital Electronics Lecturer - D. Johns ANSWER QUESTIONS ON THESE SHEETS USING BACKS IF NECESSARY 1. Equation sheet is on last

More information

Static CMOS Circuits. Example 1

Static CMOS Circuits. Example 1 Static CMOS Circuits Conventional (ratio-less) static CMOS Covered so far Ratio-ed logic (depletion load, pseudo nmos) Pass transistor logic ECE 261 Krish Chakrabarty 1 Example 1 module mux(input s, d0,

More information

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register

NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register NTE74HC165 Integrated Circuit TTL High Speed CMOS, 8 Bit Parallel In/Serial Out Shift Register Description: The NTE74HC165 is an 8 bit parallel in/serial out shift register in a 16 Lead DIP type package

More information

Digital Microelectronic Circuits ( )

Digital Microelectronic Circuits ( ) Digital Microelectronic ircuits (361-1-3021 ) Presented by: Dr. Alex Fish Lecture 5: Parasitic apacitance and Driving a Load 1 Motivation Thus far, we have learned how to model our essential building block,

More information

THE INVERTER. Inverter

THE INVERTER. Inverter THE INVERTER DIGITAL GATES Fundamental Parameters Functionality Reliability, Robustness Area Performance» Speed (delay)» Power Consumption» Energy Noise in Digital Integrated Circuits v(t) V DD i(t) (a)

More information