Page 1. A portion of this study was supported by NEDO.

Size: px
Start display at page:

Download "Page 1. A portion of this study was supported by NEDO."

Transcription

1 MRAM : Materials and Devices Current-induced Domain Wall Motion High-speed MRAM N. Ishiwata NEC Corporation Page 1 A portion of this study was supported by NEDO.

2 Outline Introduction Positioning and direction of MRAM High speed MRAM cell Domain wall motion cell for high speed MRAM Device structure and materials Writing properties and memory operation Summary Page 2

3 Comparison of novel and conventional memories Mechanism MRAM Magnetic Tunnel Junction FeRAM Ferro- electric PRAM Phase change FLASH Floating gate SRAM Transistor DRAM Capacitor Non-volatile Endurance Access time Refresh Cell size Unlimited Limited Limited Limited Unlimited Unlimited (>10 15 ) (<10 13 ) (<10 9 ) (<10 6 ) (>10 15 ) (>10 15 ) Very Fast (~10ns) No Medium Fast (50~100ns) No Large Medium (>100ns) No Small Fast (read) Slow (write) No Very Small Very fast (~10ns) No Large Fast (~50ns) Low voltage High temperature operation Application Work memory Work memory? Storage Storage Work memory Yes Small Work memory MRAM has great potential for use as non-volatile working memory. Page 3

4 DWM-MRAM MRAM cell is located at (Hz) uency Freq Perf formance 1G 100M 10M Cost High-speed esram esram 1 st -MRAM edram DRAM DWM-MRAM MRAM 12 F 2 >200 MHz eflash FLASH Relative cell size (F 2 ) 12F 2 & 200MHz cell has the features of esram & edram. Very useful for future high-speed embedded memory in SoC. Page 4

5 2Tr-1MTJ cell for high-speed MRAM operation BL GND 2Tr-1MTJ cell Read /BL MTJ 250 MHz (ASSCC 2007) WL Write 3-terminals magnetic element 32 Mbit (ISSCC 2009) No problem with either write disturbance or read one Great advantage for high-speed operation Page 5

6 Key issue : Reduction of write-current (I write write ) e (ma A) I write Field-writing STT-writing SoC Low cost 0.2 ma W (nm) <0.2 ma 2Tr-1MTJ cell has higher cost performance than conventional memories used in SoC. Spin-transfer torque switching is promising for lowering write-current. Page 6

7 Spin transfer torque switching Conventional Spin transfer torque switching F.J.Albert et al., Appl. Phys. Lett., 77-23, 3809, Current-induced domain wall motion (DWM) A.Yamaguchi et al., Phys. Rev. Lett. 92, , NiFe (in-plane) M. Yamanouchi et al., NATURE, 428, P.539, GaMnAs (perpendicular) Page 7

8 Positive characteristics of DWM elements Suitable for 2Tr-1MTJ cell Scalable write-current & write-speed Sufficient thermal stability without write current increase Suppression for read disturbance & tunneling barrier damage in write process CMOS process compatibility Page 8

9 Minimum cell layout for 2Tr-1MTJ DWM cell Plan-view 4F 12 F 2 Cross-sectional sectional-view 4F 3F BL GND. /BL M6 M5 Memory element Tr 1 Tr 2 M4 WL BL /BL Gnd. n + n + Criterion: I write < 0.2 ma 12F 2 01 m nm rule 12F 2 is possible only when the write-current is < 0.2 ma Page 9

10 Device structure for minimum cell layout Fixed region Gnd. Reference layer Tunnel barrier 0 -state DW WL BL Data region Tr 1 Tr 2 /BL Free layer e - Pinning layer 1 -write e- 1 -state DW DW Pinning sites e - 0 -write Page 10

11 What kind of material should be chosen? LLG (3-D) Simulation Page 11 Critica al curren nt dens sity, (x10 8 A/cm 2 ) j c Stable 10 IMA In-plane magnetic anisotropy Small DW 1 PMA Perpendicular magnetic anisotropy 1-D model Critical field, H c (Oe) DW Tatara et al. JPSJ, 75, , Suzuki et al. JAP, 103, , Using PMA, much smaller cell area with much better stability can be achieved.

12 DWM materials Material [Co/Ni] N Anisotropy Perpendicular Temp. Minimum Pinning Velocity (K) J 2 th (A/m ) field (Oe) (m/sec.) R.T. 0.3x [Co/Pt] N Perpendicular R.T. 1.8x CoCrPt Perpendicular R.T. 1.0x GaMnAs Perpendicular x NiFe In-plane R.T. 1.0x Co/Ni is the best material for DWM, because of its small J th with large pinning field and high velocity. Page 12

13 Write-current, I write 1.2 e (ma) I write W Fixed DWM Fixed [Co/Ni] W (nm) At less than 100 nm width, the write-current becomes less than 0.2 ma. The most important criterion is satisfied. Page 13

14 Write-time, time, t write ility Swit tching Proba ability probab ns 133 nm ns 3 ns Voltage (mv) Pulse Voltage (mv) Fixed DW DWM Fixed 200 nm V V DWM > 50 m/s t write < 2 l ~ 90 nm >200 MHz More than 200 MHz operation is promising. Page 14

15 Memory operation : 4 Kbit array 4 Kbit array MRAM CMOS cy (%) Frequen 60 -I +I 40 R 0 R R MTJ (a.u.) The two resistance states of the MTJ are clearly separated. The change of resistance is consistent with current direction. Page 15

16 Repeat test for write and read operation (a.u.) )) Rmtj R MTJ R 1 R # of W/R Good reproducible switching and overwrite properties are confirmed. Page 16

17 Summary DWM MRAM with 2Tr-1MTJ high-speed cell 12 F 2 (0.1 m >200 MHz Scalable write-current & write-speed with sufficient thermal stability 4 kbit memory array operation has been demonstrated Co/Ni multilayer film with perpendicular magnetic anisotropy is the answer for DWM MRAM Page 17

18 Thank you Page 18

Embedded MRAM Technology For logic VLSI Application

Embedded MRAM Technology For logic VLSI Application 2011 11th Non-Volatile Memory Technology Symposium Embedded MRAM Technology For logic VLSI Application November 7, 2011 Naoki Kasai 1, Shoji Ikeda 1,2, Takahiro Hanyu 1,3, Tetsuo Endoh 1,4, and Hideo Ohno

More information

A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node

A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node A Perpendicular Spin Torque Switching based MRAM for the 28 nm Technology Node U.K. Klostermann 1, M. Angerbauer 1, U. Grüning 1, F. Kreupl 1, M. Rührig 2, F. Dahmani 3, M. Kund 1, G. Müller 1 1 Qimonda

More information

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Mahendra Pakala, Silicon Systems Group, AMAT Dec 16 th, 2014 AVS 2014 *All data in presentation is internal Applied generated data

More information

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies Model download website: mtj.umn.edu Jongyeon Kim 1, An Chen 2, Behtash Behin-Aein 2, Saurabh Kumar 1,

More information

Lecture 6 NEW TYPES OF MEMORY

Lecture 6 NEW TYPES OF MEMORY Lecture 6 NEW TYPES OF MEMORY Memory Logic needs memory to function (efficiently) Current memories Volatile memory SRAM DRAM Non-volatile memory (Flash) Emerging memories Phase-change memory STT-MRAM (Ferroelectric

More information

Wouldn t it be great if

Wouldn t it be great if IDEMA DISKCON Asia-Pacific 2009 Spin Torque MRAM with Perpendicular Magnetisation: A Scalable Path for Ultra-high Density Non-volatile Memory Dr. Randall Law Data Storage Institute Agency for Science Technology

More information

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY

Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic tunnel junction beyond memory from logic to neuromorphic computing WANJUN PARK DEPT. OF ELECTRONIC ENGINEERING, HANYANG UNIVERSITY Magnetic Tunnel Junctions (MTJs) Structure High density memory

More information

MRAM: Device Basics and Emerging Technologies

MRAM: Device Basics and Emerging Technologies MRAM: Device Basics and Emerging Technologies Matthew R. Pufall National Institute of Standards and Technology 325 Broadway, Boulder CO 80305-3337 Phone: +1-303-497-5206 FAX: +1-303-497-7364 E-mail: pufall@boulder.nist.gov

More information

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM)

New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) New Approaches to Reducing Energy Consumption of MRAM write cycles, Ultra-high efficient writing (Voltage-Control) Spintronics Memory (VoCSM) Hiroaki Yoda Corporate Research & Development Center, Toshiba

More information

arxiv: v1 [physics.app-ph] 1 May 2017

arxiv: v1 [physics.app-ph] 1 May 2017 Magnetic Skyrmions for Cache Memory Mei-Chin Chen 1 and Kaushik Roy 1 1 School of Electrical and Computer Engineering, Purdue University, West Lafayette, 47906, USA * chen1320@purdue.edu ABSTRACT arxiv:1705.01095v1

More information

Magnetic Race- Track Memory: Current Induced Domain Wall Motion!

Magnetic Race- Track Memory: Current Induced Domain Wall Motion! Magnetic Race- Track Memory: Current Induced Domain Wall Motion! Stuart Parkin IBM Fellow IBM Almaden Research Center San Jose, California parkin@almaden.ibm.com Digital data storage Two main types of

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

S. Mangin 1, Y. Henry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5

S. Mangin 1, Y. Henry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5 Spin transfer torques in high anisotropy magnetic nanostructures S. Mangin 1, Y. enry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5 1) Laboratoire de Physique des

More information

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction

Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction November 3-4, 2011 Berkeley, CA, USA Nonvolatile CMOS Circuits Using Magnetic Tunnel Junction Hideo Ohno 1,2 1 Center for Spintronics Integrated Systems, Tohoku University, Japan 2 Laboratory for Nanoelectronics

More information

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology M. Kim 1, J. Kim 1, G. Park 1, L. Everson 1, H. Kim 1, S. Song 1,2,

More information

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology

From Spin Torque Random Access Memory to Spintronic Memristor. Xiaobin Wang Seagate Technology From Spin Torque Random Access Memory to Spintronic Memristor Xiaobin Wang Seagate Technology Contents Spin Torque Random Access Memory: dynamics characterization, device scale down challenges and opportunities

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU A Universal Memory Model for Design Exploration Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU Universal Memory Modeling because there is no universal memory device! Modeling needs in

More information

Nomenclature, Advantages, Applications. Logic States, Read Ops, Write Ops

Nomenclature, Advantages, Applications. Logic States, Read Ops, Write Ops Critical Factors in Testing MRAM Devices W. Stevenson Cypress Semiconductor, Inc Inc. Southwest Test Workshop June G. Asmerom C. Taylor Electroglas AGENDA / OBJECTIVE MRAM Device? Nomenclature, Advantages,

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information

NRAM: High Performance, Highly Reliable Emerging Memory

NRAM: High Performance, Highly Reliable Emerging Memory NRAM: High Performance, Highly Reliable Emerging Memory Sheyang Ning,2, Tomoko Ogura Iwasaki, Darlene Viviani 2, Henry Huang 2, Monte Manning 2, Thomas Rueckes 2, Ken Takeuchi Chuo University 2 Nantero

More information

Low-power non-volatile spintronic memory: STT-RAM and beyond

Low-power non-volatile spintronic memory: STT-RAM and beyond IOP PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS J. Phys. D: Appl. Phys. 46 (2013) 074003 (10pp) doi:10.1088/0022-3727/46/7/074003 Low-power non-volatile spintronic memory: STT-RAM and beyond K L Wang,

More information

Nanoelectronics 12. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 12. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 12 Atsufumi Hirohata Department of Electronics 09:00 Tuesday, 20/February/2018 (P/T 005) Quick Review over the Last Lecture Origin of magnetism : ( Circular current ) is equivalent to a

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

Improving STT-MRAM Density Through Multibit Error Correction

Improving STT-MRAM Density Through Multibit Error Correction Improving STT-MRAM Density Through Multibit Error Correction Brandon Del Bel, Jongyeon Kim, Chris H. Kim, and Sachin S. Sapatnekar Department of ECE, University of Minnesota {delbel, kimx2889, chriskim,

More information

Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009

Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009 Low Energy Spin Transfer Torque RAM (STT-RAM / SPRAM) Zach Foresta April 23, 2009 Overview Background A brief history GMR and why it occurs TMR structure What is spin transfer? A novel device A future

More information

MSE 7025 Magnetic Materials (and Spintronics)

MSE 7025 Magnetic Materials (and Spintronics) MSE 7025 Magnetic Materials (and Spintronics) Lecture 14: Spin Transfer Torque And the future of spintronics research Chi-Feng Pai cfpai@ntu.edu.tw Course Outline Time Table Week Date Lecture 1 Feb 24

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

An Overview of Spin-based Integrated Circuits

An Overview of Spin-based Integrated Circuits ASP-DAC 2014 An Overview of Spin-based Integrated Circuits Wang Kang, Weisheng Zhao, Zhaohao Wang, Jacques-Olivier Klein, Yue Zhang, Djaafar Chabi, Youguang Zhang, Dafiné Ravelosona, and Claude Chappert

More information

Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index.

Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index. Beyond Charge-Based Computing: STT- MRAMs Kaushik Roy Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN https://engineering.purdue.edu/nrl/index.html 1 Failure probability

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Lecture 25. Semiconductor Memories. Issues in Memory

Lecture 25. Semiconductor Memories. Issues in Memory Lecture 25 Semiconductor Memories Issues in Memory Memory Classification Memory Architectures TheMemoryCore Periphery 1 Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access

More information

Memory Trend. Memory Architectures The Memory Core Periphery

Memory Trend. Memory Architectures The Memory Core Periphery Semiconductor Memories: an Introduction ti Talk Overview Memory Trend Memory Classification Memory Architectures The Memory Core Periphery Reliability Semiconductor Memory Trends (up to the 90 s) Memory

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Author : Fabrice BERNARD-GRANGER September 18 th, 2014

Author : Fabrice BERNARD-GRANGER September 18 th, 2014 Author : September 18 th, 2014 Spintronic Introduction Spintronic Design Flow and Compact Modelling Process Variation and Design Impact Semiconductor Devices Characterisation Seminar 2 Spintronic Introduction

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU

SPICE Modeling of STT-RAM for Resilient Design. Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU SPICE odeling of STT-RA for Resilient Design Zihan Xu, Ketul Sutaria, Chengen Yang, Chaitali Chakrabarti, Yu (Kevin) Cao School of ECEE, ASU OUTLINE - 2 - Heterogeneous emory Design A Promising Candidate:

More information

Spin-Based Logic and Memory Technologies for Low-Power Systems

Spin-Based Logic and Memory Technologies for Low-Power Systems Spin-Based Logic and Memory Technologies for Low-Power Systems A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY Jongyeon Kim IN PARTIAL FULFILLMENT OF THE

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D. cw_kim@samsung.com Acknowledgements Collaboration Funding Outline Introduction Current research status Nano fabrication Process Nanoscale patterning SiN thin film Si Nanoparticle Nano devices Nanoscale

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES

NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES NEUROMORPHIC COMPUTING WITH MAGNETO-METALLIC NEURONS & SYNAPSES: PROSPECTS AND PERSPECTIVES KAUSHIK ROY ABHRONIL SENGUPTA, KARTHIK YOGENDRA, DELIANG FAN, SYED SARWAR, PRIYA PANDA, GOPAL SRINIVASAN, JASON

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

This document is an author-formatted work. The definitive version for citation appears as:

This document is an author-formatted work. The definitive version for citation appears as: This document is an author-formatted work. The definitive version for citation appears as: A. Roohi, R. Zand, D. Fan and R. F. DeMara, "Voltage-based Concatenatable Full Adder using Spin Hall Effect Switching,"

More information

Test System Requirements For Wafer Level MRAM Test

Test System Requirements For Wafer Level MRAM Test Test System Requirements For Wafer Level MRAM Test Raphael Robertazzi IBM/Infineon MRAM Development Alliance With Acknowledgement To Cascade Microtech Inc. And Temptronics Inc. 6/07/04 SWTW-2004 Page [1]

More information

Enhanced spin orbit torques by oxygen incorporation in tungsten films

Enhanced spin orbit torques by oxygen incorporation in tungsten films Enhanced spin orbit torques by oxygen incorporation in tungsten films Timothy Phung IBM Almaden Research Center, San Jose, California, USA 1 Motivation: Memory devices based on spin currents Spin Transfer

More information

P4C164 ULTRA HIGH SPEED 8K X 8 STATIC CMOS RAMS FEATURES DESCRIPTION. Full CMOS, 6T Cell. Common Data I/O

P4C164 ULTRA HIGH SPEED 8K X 8 STATIC CMOS RAMS FEATURES DESCRIPTION. Full CMOS, 6T Cell. Common Data I/O FEATURES Full CMOS, 6T Cell High Speed (Equal Access and Cycle Times) 8/10/12/15/20/25/35/70/100 ns (Commercial) 10/12/15/20/25/35/70/100 ns(industrial) 12/15/20/25/35/45/70/100 ns (Military) Low Power

More information

9. Spin Torque Majority Gate

9. Spin Torque Majority Gate eyond MOS computing 9. Spin Torque Majority Gate Dmitri Nikonov Thanks to George ourianoff Dmitri.e.nikonov@intel.com 1 Outline Spin majority gate with in-pane magnetization Spin majority gate with perpendicular

More information

Semiconductor Memories

Semiconductor Memories !"#"$%&'()$*#+%$*,' -"+./"$0 1'!*0"#)'2*+03*.$"4* Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 !"#$%&'()*&'*+&, Memory Classification Memory Architectures

More information

NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS

NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS SPIN Vol. 2, No. 2 (2012) 1250009 (22 pages) World Scienti c Publishing Company DOI: 10.1142/S2010324712500099 NONVOLATILE SPINTRONICS: PERSPECTIVES ON INSTANT-ON NONVOLATILE NANOELECTRONIC SYSTEMS K.

More information

SRC/NSF/A*STAR Forum on 2020 Semiconductor Memory Strategies: Processes, Devices, and Architectures

SRC/NSF/A*STAR Forum on 2020 Semiconductor Memory Strategies: Processes, Devices, and Architectures SRC/NSF/A*STAR Forum on 2020 Semiconductor Memory Strategies: Processes, Devices, and Architectures I. Introduction In 1957, Richard Feynman asked in a lecture at Caltech if it might be possible to write

More information

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 1 Hw 8 Posted Last one to be graded Due Friday April 30 Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today EECS141 2 1 6 5 4 3 2 1 0 1.5 2 2.5 3 3.5 4 Frequency

More information

Compact Modeling of STT-RAM and MeRAM A Verilog-A model of Magnetic Tunnel Junction Behavioral Dynamics

Compact Modeling of STT-RAM and MeRAM A Verilog-A model of Magnetic Tunnel Junction Behavioral Dynamics UNIVERSITY OF CALIFORNIA, LOS ANGELES Compact Modeling of STT-RAM and MeRAM A Verilog-A model of Magnetic Tunnel Junction Behavioral Dynamics Dheeraj Srinivasan 3/8/2013 +This work was done under the advisement

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Current-driven Magnetization Reversal in a Ferromagnetic Semiconductor. (Ga,Mn)As/GaAs/(Ga,Mn)As Tunnel Junction

Current-driven Magnetization Reversal in a Ferromagnetic Semiconductor. (Ga,Mn)As/GaAs/(Ga,Mn)As Tunnel Junction Current-driven Magnetization Reversal in a Ferromagnetic Semiconductor (Ga,Mn)As/GaAs/(Ga,Mn)As Tunnel Junction D. Chiba 1, 2*, Y. Sato 1, T. Kita 2, 1, F. Matsukura 1, 2, and H. Ohno 1, 2 1 Laboratory

More information

arxiv: v1 [cond-mat.mtrl-sci] 28 Jul 2008

arxiv: v1 [cond-mat.mtrl-sci] 28 Jul 2008 Current induced resistance change of magnetic tunnel junctions with ultra-thin MgO tunnel barriers Patryk Krzysteczko, 1, Xinli Kou, 2 Karsten Rott, 1 Andy Thomas, 1 and Günter Reiss 1 1 Bielefeld University,

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices

Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices Rashmi Jha and Branden Long Dept. of Electrical Engineering and Computer Science University of Toledo Toledo,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

Modelling and Circuit Design for STT-MRAM. Aynaz Vatankhahghadim

Modelling and Circuit Design for STT-MRAM. Aynaz Vatankhahghadim Modelling and Circuit Design for STT-MRAM by Aynaz Vatankhahghadim A thesis submitted in conformity with the requirements for the degree of Doctor of Philosophy Graduate Department of Electrical and Computer

More information

Magnetic memories: from magnetic storage to MRAM and magnetic logic

Magnetic memories: from magnetic storage to MRAM and magnetic logic Magnetic memories: from magnetic storage to MRAM and magnetic logic WIND Claude CHAPPERT, CNRS Département "Nanospintronique" Institut d'electronique Fondamentale Université Paris Sud, Orsay, FRANCE chappert@u-psud.fr

More information

Supplementary Information for. Non-volatile memory based on ferroelectric photovoltaic effect

Supplementary Information for. Non-volatile memory based on ferroelectric photovoltaic effect Supplementary Information for Non-volatile memory based on ferroelectric photovoltaic effect Rui Guo 1, Lu You 1, Yang Zhou 1, Zhi Shiuh Lim 1, Xi Zou 1, Lang Chen 1, R. Ramesh 2, Junling Wang 1* 1 School

More information

Current-induced Domain Wall Dynamics

Current-induced Domain Wall Dynamics Current-induced Domain Wall Dynamics M. Kläui, Fachbereich Physik & Zukunftskolleg Universität Konstanz Konstanz, Germany Starting Independent Researcher Grant Motivation: Physics & Applications Head-to-head

More information

Low Energy SPRAM. Figure 1 Spin valve GMR device hysteresis curve showing states of parallel (P)/anti-parallel (AP) poles,

Low Energy SPRAM. Figure 1 Spin valve GMR device hysteresis curve showing states of parallel (P)/anti-parallel (AP) poles, Zachary Foresta Nanoscale Electronics 04-22-2009 Low Energy SPRAM Introduction The concept of spin transfer was proposed by Slonczewski [1] and Berger [2] in 1996. They stated that when a current of polarized

More information

SPIN TRANSFER TORQUES IN HIGH ANISOTROPY MAGNETIC NANOSTRUCTURES

SPIN TRANSFER TORQUES IN HIGH ANISOTROPY MAGNETIC NANOSTRUCTURES CRR Report Number 29, Winter 2008 SPIN TRANSFER TORQUES IN HIGH ANISOTROPY AGNETIC NANOSTRUCTURES Eric Fullerton 1, Jordan Katine 2, Stephane angin 3, Yves Henry 4, Dafine Ravelosona 5, 1 University of

More information

Emerging spintronics-based logic technologies

Emerging spintronics-based logic technologies Center for Spintronic Materials, Interfaces, and Novel Architectures Emerging spintronics-based logic technologies Zhaoxin Liang Meghna Mankalale Jian-Ping Wang Sachin S. Sapatnekar University of Minnesota

More information

Theory of Spin Diode Effect

Theory of Spin Diode Effect Theory of Spin Diode Effect Piotr Ogrodnik Warsaw University of Technology and Institute of Molecular Physics Polish Academy of Sciences NANOSPIN Summarizing Meeting, Kraków, 11-12th July 216 Outline:

More information

Thin Film Transistors (TFT)

Thin Film Transistors (TFT) Thin Film Transistors (TFT) a-si TFT - α-si:h (Hydrogenated amorphous Si) deposited with a PECVD system (low temp. process) replaces the single crystal Si substrate. - Inverted staggered structure with

More information

Advanced Flash and Nano-Floating Gate Memories

Advanced Flash and Nano-Floating Gate Memories Advanced Flash and Nano-Floating Gate Memories Mater. Res. Soc. Symp. Proc. Vol. 1337 2011 Materials Research Society DOI: 10.1557/opl.2011.1028 Scaling Challenges for NAND and Replacement Memory Technology

More information

LH5P8128. CMOS 1M (128K 8) Pseudo-Static RAM PIN CONNECTIONS

LH5P8128. CMOS 1M (128K 8) Pseudo-Static RAM PIN CONNECTIONS LH5P8128 FEATURES 131,072 8 bit organization Access times (MAX.): 60/80/100 ns Cycle times (MIN.): 100/130/160 ns Single +5 V power supply Power consumption: Operating: 572/385/275 mw (MAX.) Standby (CMOS

More information

Magnetization Dynamics in Spintronic Structures and Devices

Magnetization Dynamics in Spintronic Structures and Devices Japanese Journal of Applied Physics Vol. 45, No. 5A, 2006, pp. 3835 3841 #2006 The Japan Society of Applied Physics Magnetization Dynamics in Spintronic Structures and Devices Structure, Materials and

More information

Quantum Dot Structures Measuring Hamming Distance for Associative Memories

Quantum Dot Structures Measuring Hamming Distance for Associative Memories Article Submitted to Superlattices and Microstructures Quantum Dot Structures Measuring Hamming Distance for Associative Memories TAKASHI MORIE, TOMOHIRO MATSUURA, SATOSHI MIYATA, TOSHIO YAMANAKA, MAKOTO

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Large voltage-induced netic anisotropy change in a few atomic layers of iron T. Maruyama 1, Y. Shiota 1, T. Noaki 1, K. Ohta 1, N. Toda 1, M. Miuguchi 1, A. A. Tulapurkar 1, T.

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Index. annealing temperature 236, 238, 250, 310, , , 491, 691

Index. annealing temperature 236, 238, 250, 310, , , 491, 691 Index Abbe s diffraction limit 42 absorption coefficient 96 97, 107 108, 128 access device 436, 599, 604, 607, 615 access transistor 435 437, 592 593, 596, 603, 606 activation energy 215, 296 297, 411,

More information

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 2015 Final Tuesday, December 15 Problem weightings

More information

CMOS compatible integrated ferroelectric tunnel junctions (FTJ)

CMOS compatible integrated ferroelectric tunnel junctions (FTJ) CMOS compatible integrated ferroelectric tunnel junctions (FTJ) Mohammad Abuwasib 1*, Hyungwoo Lee 2, Chang-Beom Eom 2, Alexei Gruverman 3, Jonathan Bird 1 and Uttam Singisetti 1 1 Electrical Engineering,

More information

Physics Coral Gables, Florida 33124, USA

Physics Coral Gables, Florida 33124, USA Physics Coral Gables, Florida 33124, USA The Spin Battery barnes@physics.miami.edu Spin Battery Spin battery S. E. Barnes and S. Maekawa Phys. Rev. Lett. 98 246601 (2007) Except for solar cells, the generation

More information

CMOS Inverter. Performance Scaling

CMOS Inverter. Performance Scaling Announcements Exam #2 regrade requests due today. Homework #8 due today. Final Exam: Th June 12, 8:30 10:20am, CMU 120 (extension to 11:20am requested). Grades available for viewing via Catalyst. CMOS

More information

PS3-RAM: A Fast Portable and Scalable Statistical STT-RAM Reliability Analysis Method

PS3-RAM: A Fast Portable and Scalable Statistical STT-RAM Reliability Analysis Method PS3-RAM: A Fast Portable and Scalable Statistical STT-RAM Reliability Analysis Method ujie en, Yaojun Zhang, Yiran Chen Yu ang Yuan Xie University of Pittsburgh Tsinghua University Pennsylvania State University

More information

Spin-transfer-torque efficiency enhanced by edge-damage. of perpendicular magnetic random access memories

Spin-transfer-torque efficiency enhanced by edge-damage. of perpendicular magnetic random access memories Spin-transfer-torque efficiency enhanced by edge-damage of perpendicular magnetic random access memories Kyungmi Song 1 and Kyung-Jin Lee 1,2,* 1 KU-KIST Graduate School of Converging Science and Technology,

More information

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr.

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr. DRAM & SRAM Design Random Access Memory Volatile memory Random access is possible if you know the address DRAM DRAM Dynamic Random Access Memory SRAM Static Random Access Memory SRAM Cell Structure Power

More information

Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM

Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM Seyed Mohammad Seyedzadeh, Rakan Maddah, Alex Jones, Rami Melhem University of Pittsburgh Intel Corporation seyedzadeh@cs.pitt.edu,

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

Advanced Lab Course. Tunneling Magneto Resistance

Advanced Lab Course. Tunneling Magneto Resistance Advanced Lab Course Tunneling Magneto Resistance M06 As of: 015-04-01 Aim: Measurement of tunneling magnetoresistance for different sample sizes and recording the TMR in dependency on the voltage. Content

More information

Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor

Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor CONTRIBUTED P A P E R Spin-Based Computing: Device Concepts, Current Status, and a Case Study on a High-Performance Microprocessor This paper provides a review of various spintronic devices being considered

More information

Electric-Field-Controlled Magnetoelectric RAM: Progress, Challenges, and Scaling

Electric-Field-Controlled Magnetoelectric RAM: Progress, Challenges, and Scaling IEEE TRANSACTIONS ON MAGNETICS, VOL. 51, NO. 11, NOVEMBER 2015 3401507 Electric-Field-Controlled Magnetoelectric RAM: Progress, Challenges, and Scaling Pedram Khalili Amiri 1,2,JuanG.Alzate 1, Xue Qing

More information

Thermal Magnetic Random Access Memory

Thermal Magnetic Random Access Memory Thermal Magnetic andom Access Memory IEEE International Conference on Computer Design New Memory Technologies San Jose, CA October 4, 2005 James Deak NVE Corporation Participants Jim Daughton - PI Art

More information

INCREASING power density and static leakage currents

INCREASING power density and static leakage currents IEEE TRANSACTIONS ON MAGNETICS, VOL. 51, NO. 5, MAY 2015 3400408 Straintronics-Based Random Access Memory as Universal Data Storage Devices Mahmood Barangi and Pinaki Mazumder, Fellow, IEEE Department

More information

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Semiconductor Memories Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Memory Classification Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random

More information

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor

Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Design Considerations for Integrated Semiconductor Control Electronics for a Large-scale Solid State Quantum Processor Hendrik Bluhm Andre Kruth Lotte Geck Carsten Degenhardt 1 0 Ψ 1 Quantum Computing

More information

12. Memories / Bipolar transistors

12. Memories / Bipolar transistors Technische Universität Graz Institute of Solid State Physics 12. Memories / Bipolar transistors Jan. 9, 2019 Technische Universität Graz Institute of Solid State Physics Exams January 31 March 8 May 17

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Overview Of Spintronics

Overview Of Spintronics Overview Of Spintronics Mukesh D. Patil Ph.D. IIT Mumbai. Ramrao Adik Institute of Technology, Navi Mumbai, India. Jitendra S. Pingale M.E. Electronics, Ramrao Adik Institute of Technology, Navi Mumbai,

More information

Spin orbit torque driven magnetic switching and memory. Debanjan Bhowmik

Spin orbit torque driven magnetic switching and memory. Debanjan Bhowmik Spin orbit torque driven magnetic switching and memory Debanjan Bhowmik Spin Transfer Torque Fixed Layer Free Layer Fixed Layer Free Layer Current coming out of the fixed layer (F2) is spin polarized in

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Gate voltage modulation of spin-hall-torque-driven magnetic switching. Cornell University, Ithaca, NY 14853

Gate voltage modulation of spin-hall-torque-driven magnetic switching. Cornell University, Ithaca, NY 14853 Gate voltage modulation of spin-hall-torque-driven magnetic switching Luqiao Liu 1, Chi-Feng Pai 1, D. C. Ralph 1,2 and R. A. Buhrman 1 1 Cornell University, Ithaca, NY 14853 2 Kavli Institute at Cornell,

More information