Accurate GHz channel simulation and statistical analysis for SSE(Solution Space Exploration)

Size: px
Start display at page:

Download "Accurate GHz channel simulation and statistical analysis for SSE(Solution Space Exploration)"

Transcription

1 Accurate GHz channel simulation and statistical analysis for SSE(Solution Space Exploration) Asian IBIS Summit Shanghai China Nov11, 2008 Baolong Li, Ansoft Corp. Hou Weiping, Huawei Corp

2 Serial Link Landscape Storage 1GFC SATA 2GFC GFC 4.25 SATA2 SATA3 8GFC GFC Networking Telecom GbE XAUI CEI (OIF) 10GbE CEI (OIF) G OC-12 OC-48 OC Computing GbE SATA PCIE SATA Video Rate (Gb/s) HD-SDI

3 Gigabit Design Requirements - Accuracy models are in fashion

4 Traditional simulation method Method1:SPICE transient simulation High accuracy Difficult edit Long time, non-convergence Method2:Mathematics Matlab based program Vendor depend Only S- parameter channel model Page 4

5 SPICE level transient simulation Altera StratixII 6.25Gb/s example Initial statues Tx/Rx contol Simulation setting Sink Source Channel model Page 5

6 Evaluation system Page 6

7 Simulation result UDT:tranciever+2.5 daughter board+26 mother board Tx pre-emphasis:1 st post=9 code:prbs7 Results: eye(mv) Sim 124 Meas 131 Tolerance<10%!!! Page 7

8 Transient simulation Vers. statistical analysis Traditional Transient Methods Solve the system response for each time step System response predicted using unequal time steps Slow simulation time for a large number of bits 1/BER Statistical The Fast Convolution Method Takes advantage of superposition assumption to create a system response. generally bounded by the LTI Assumption Page 8

9 Create Circuit W rite Nodal Equations Linearize and Solve DC Circuit Equations using Newton-Raphson Transient Analysis Did NR Method Converge to Desired Accuracy? No Yes Solve Transient Time Steps Create Discreet Time Approximation using an Integration Technique Solve Linearized Circuit Equations using Newton-Raphson Method Did NR Method Converge to Desired Accuracy? No No Yes Are All Time Steps Complete? Display Results

10 X X 1 2 ( t) Y statistical analysis The Fast ( t) Y ( t) 1 2 ( t) Convolution Method Input yields output X 1( t) + X 2( t) Y1( t) + Y2( t) Additive property ax1( t) ay1( t) Homogeneity property X1( t τ ) Y1( t τ ) Time invariant property

11 SSE Solution Space Exploration Simulation requirements at first step Trade-off among multi-elements in the design Approximations for speed Each element of the channel have different effect to the final results Cost and time-to-market pressure Variants sweep for Solution Space Exploration is critical Maximize the Sweep variants: T-Line length:system framework connector:performance = Price Footprint :perfect layout and routing cost long time performance and minimize the cost of interconnection technology Page 11

12 Jitter and BER Jitter is a critical elements of BER! Page 12

13 statistical analysis1-- BER statisticalal Eye Analysis (lower accuracy, highest speed) Algorithm: Run transient Generates step response Detect the delay Impose the step response On UI grid Calculate probability of error (BER) For a single cell in the grid Based on statistical assumptions Generate Eye contour Visualizes worst eye

14 statistical analysis2 Eye mask and jitter Bridge between statisticalal Eye & transient (medium accuracy, medium speed) Algorithm: Run transient Generates step response Convolve Ansoft Corporation Input bit-stream with step response Allows for very long input bit patterns Provides fast time and eye plots Visualize eye mask violations Determine Jitter through Histogram of threshold crossings V(Port1) [mv] XY Plot Time [ns] Curve Info Nexxim1 V(Port1) Transient

15 Full channel model 1. Sweep the variants of each element 2. Optimize full channel Page 15

16 Footprint effect Back-drill length Anti-pad diameter Page 16

17 Connector effect Connector performance Connector model Spice or S- Page 17

18 Transmission line Length effect Length Eye mask BER PDF Page 18

19 Total effect Including T_line length, connector and footprint effect length Eye mask BER PDF Page 19

20 SSE for full channel Fast trade-off among T-Line length, connector and footprint at first step Page 20

21 Spice transient simulation Accuracy Take long time statistical analysis Speed! Easy for SSE Conclusion

Anisotropic Substrates Variance for IBIS-AMI Simulation

Anisotropic Substrates Variance for IBIS-AMI Simulation Anisotropic Substrates Variance for IBIS-AMI Simulation Naijen Hsuan naijen.hsuan@ansys.com Asian IBIS Summit Shanghai, China November 15, 2013 1 High speed Challenges Today (1) High Speed Data Rate Issue

More information

Pre Silicon to Post Silicon Overview. Adam Norman Intel Corp. PCCG

Pre Silicon to Post Silicon Overview. Adam Norman Intel Corp. PCCG Pre Silicon to Post Silicon Overview Adam Norman Intel Corp. PCCG What is Pre-Silicon? It is the design Phase of a platform, which is done before silicon/packages/boards have been fabricated. It can take

More information

IBIS-AMI Concern for PAM4 Simulation

IBIS-AMI Concern for PAM4 Simulation IBIS-AMI Concern for PAM4 Simulation Asian IBIS Summit Tokyo, Japan November 16, 2015 Shinichi Maeda E-mail: KEI-Systems@jcom.home.ne.jp 56 Gbps coming soon How to realize 56 Gbps What is PAM4 How to simulate

More information

Accurate Statistical Analysis of High-Speed Links with PAM-4 Modulation

Accurate Statistical Analysis of High-Speed Links with PAM-4 Modulation EPEPS IBIS Summit San Jose, California October 8, 05 Accurate Statistical Analsis of High-Speed Links with PAM-4 Modulation Vladimir Dmitriev-Zdorov Mentor Graphics Corp., vladimir_dmitriev-zdorov@mentor.com

More information

DDR4 Board Design and Signal Integrity Verification Challenges

DDR4 Board Design and Signal Integrity Verification Challenges DDR4 Board Design and Signal Integrity Verification Challenges Outline Enabling DDR4 Pseudo Open Drain Driver - Benefit POD SI effects VrefDQ Calculation Data Eye Simulating SSN New Drive Standards Difference

More information

Achieve Your Best Design with SI

Achieve Your Best Design with SI Achieve Your Best Design with SI and PI concerns SIPro and PIPro Application Engineer / Keysight Technologies Nash TU 2018.06.11 Taipei Contents Signal Integrity Design Cycle SIPro PIPro Power-aware SI

More information

Presenters. Time Domain and Statistical Model Development, Simulation and Correlation Methods for High Speed SerDes

Presenters. Time Domain and Statistical Model Development, Simulation and Correlation Methods for High Speed SerDes JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER Time Domain and Statistical Model Development, Simulation and Correlation Methods or High Speed SerDes Presenters Xingdong Dai Fangyi Rao Shiva Prasad

More information

EE290C Spring Motivation. Lecture 6: Link Performance Analysis. Elad Alon Dept. of EECS. Does eqn. above predict everything? EE290C Lecture 5 2

EE290C Spring Motivation. Lecture 6: Link Performance Analysis. Elad Alon Dept. of EECS. Does eqn. above predict everything? EE290C Lecture 5 2 EE29C Spring 2 Lecture 6: Link Performance Analysis Elad Alon Dept. of EECS Motivation V in, ampl Voff BER = 2 erfc 2σ noise Does eqn. above predict everything? EE29C Lecture 5 2 Traditional Approach Borrowed

More information

How to Avoid Butchering S-parameters

How to Avoid Butchering S-parameters How to Avoid Butchering S-parameters Course Number: TP-T3 Yuriy Shlepnev, Simberian Inc. shlepnev@simberian.com +1-(702)-876-2882 1 Introduction Outline Quality of S-parameter models Rational macro-models

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: pecial Topics in High-peed Links Circuits and ystems pring 01 Lecture 3: Time-Domain Reflectometry & -Parameter Channel Models am Palermo Analog & Mixed-ignal Center Texas A&M University Announcements

More information

Characterization of a Printed Circuit Board Via

Characterization of a Printed Circuit Board Via Characterization of a Printed Circuit Board Via Brock J. LaMeres Thesis Defense May 25, 2000 Department of Electrical and Computer Engineering University of Colorado Colorado Springs, CO Objective To Develop

More information

IBIS EBD Modeling, Usage and Enhancement An Example of Memory Channel Multi-board Simulation

IBIS EBD Modeling, Usage and Enhancement An Example of Memory Channel Multi-board Simulation IBIS EBD Modeling, Usage and Enhancement An Example of Memory Channel Multi-board Simulation Tao Xu Asian IBIS Summit taoxu@sigrity.com Shanghai China November 11, 2008 Agenda Memory channel simulation

More information

Reflections on S-parameter Quality DesignCon IBIS Summit, Santa Clara, February 3, 2011

Reflections on S-parameter Quality DesignCon IBIS Summit, Santa Clara, February 3, 2011 Reflections on S-parameter Quality DesignCon IBIS Summit, Santa Clara, February 3, 2011 Yuriy Shlepnev shlepnev@simberian.com Copyright 2011 by Simberian Inc. Reuse by written permission only. All rights

More information

Time Domain Modeling of Lossy Interconnects

Time Domain Modeling of Lossy Interconnects IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 24, NO. 2, MAY 2001 191 Time Domain Modeling of Lossy Interconnects Christer Svensson, Member, IEEE, and Gregory E. Dermer Abstract A new model for dielectric

More information

6.02 Fall 2012 Lecture #11

6.02 Fall 2012 Lecture #11 6.02 Fall 2012 Lecture #11 Eye diagrams Alternative ways to look at convolution 6.02 Fall 2012 Lecture 11, Slide #1 Eye Diagrams 000 100 010 110 001 101 011 111 Eye diagrams make it easy to find These

More information

SerDes_Channel_Impulse_Modeling_with_Rambus

SerDes_Channel_Impulse_Modeling_with_Rambus SerDes_Channel_Impulse_Modeling_with_Rambus Author: John Baprawski; John Baprawski Inc. (JB) Email: John.baprawski@gmail.com Web sites: https://www.johnbaprawski.com; https://www.serdesdesign.com Date:

More information

Method for analytically calculating BER (bit error rate) in presence of non-linearity. Gaurav Malhotra Xilinx

Method for analytically calculating BER (bit error rate) in presence of non-linearity. Gaurav Malhotra Xilinx Method for analytically calculating BER (bit error rate) in presence of non-linearity Gaurav Malhotra Xilinx Outline Review existing methodology for calculating BER based on linear system analysis. Link

More information

Measuring Deterministic Jitter with a K28.5 Pattern and an Oscilloscope

Measuring Deterministic Jitter with a K28.5 Pattern and an Oscilloscope Application Note: HFAN-4.5.0 Rev1; 04/08 Measuring Deterministic Jitter with a K28.5 Pattern and an Oscilloscope [A version of this application note has been published in the July, 2002 issue of Communications

More information

Jitter Decomposition in Ring Oscillators

Jitter Decomposition in Ring Oscillators Jitter Decomposition in Ring Oscillators Qingqi Dou Jacob A. Abraham Computer Engineering Research Center Computer Engineering Research Center The University of Texas at Austin The University of Texas

More information

Predicting BER to Very Low Probabilities

Predicting BER to Very Low Probabilities Predicting BER to Very Low Probabilities IBIS Summit, DAC, Anaheim, CA June 15, 2010 0.25 0.2 0.15 0.1 0.05 0-0.05-0.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -0.15-0.2-0.25-0.5-0.4-0.3-0.2-0.1

More information

DesignCon Evaluation of Relative Humidity and Temperature Effects on Scattering Parameters in Transmission Systems 1

DesignCon Evaluation of Relative Humidity and Temperature Effects on Scattering Parameters in Transmission Systems 1 DesignCon 21 Evaluation of Relative Humidity and Temperature Effects on Scattering Parameters in Transmission Systems 1 Ji Li, Penn State Harrisburg jxl994@psu.edu, (717)-948-6278 Mark Minns, Penn State

More information

These are special traffic patterns that create more stress on a switch

These are special traffic patterns that create more stress on a switch Myths about Microbursts What are Microbursts? Microbursts are traffic patterns where traffic arrives in small bursts. While almost all network traffic is bursty to some extent, storage traffic usually

More information

An electrical-level superposed-edge approach to statistical serial link simulation

An electrical-level superposed-edge approach to statistical serial link simulation An electrical-level superposed-edge approach to statistical serial lin simulation The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Transmission Lines. Author: Michael Leddige

Transmission Lines. Author: Michael Leddige Transmission Lines Author: Michael Leddige 1 Contents PCB Transmission line structures Equivalent Circuits and Key Parameters Lossless Transmission Line Analysis Driving Reflections Systems Reactive Elements

More information

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 14 Gb/s AC Coupled Receiver in 90 nm CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca OUTLINE Chip-to-Chip link overview AC interconnects Link modelling ISI & sensitivity

More information

Differential Impedance finally made simple

Differential Impedance finally made simple Slide - Differential Impedance finally made simple Eric Bogatin President Bogatin Enterprises 93-393-305 eric@bogent.com Slide -2 Overview What s impedance Differential Impedance: a simple perspective

More information

Prediction of Stochastic Eye Diagrams via IC Equivalents and Lagrange Polynomials

Prediction of Stochastic Eye Diagrams via IC Equivalents and Lagrange Polynomials Prediction of Stochastic Eye Diagrams via IC Equivalents and Lagrange Polynomials Paolo Manfredi, Igor S. Stievano, Flavio G. Canavero Department of Electronics and Telecommunications (DET) Politecnico

More information

Dielectric and Conductor Roughness Models Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz

Dielectric and Conductor Roughness Models Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz Dielectric and Conductor Roughness Models Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz Yuriy Shlepnev Simberian Inc. Abstract: Meaningful interconnect design and compliance

More information

Flex-Prints for the Mu3e Experiment

Flex-Prints for the Mu3e Experiment Flex-Prints for the Mu3e Experiment Sebastian Dittmeier on behalf of the Mu3e Collaboration Physikalisches Institut - Universität Heidelberg DPG-Frühjahrstagung Hamburg 29 February 2016 Mu3e - Experimental

More information

S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION

S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION S-PARAMETER QUALITY METRICS AND ANALYSIS TO MEASUREMENT CORRELATION VNA Measurement S-Parameter Quality Metrics 2 S-Parameter Quality Metrics Quality is important Reciprocity Forward and reverse transmission

More information

New Interconnect Models Removes Simulation Uncertainty

New Interconnect Models Removes Simulation Uncertainty New Interconnect Models Removes Simulation Uncertainty Fangyi Rao, Agilent Technologies Chad Morgan, Tyco Electronics Vuk Borich, Agilent Technologies Sanjeev Gupta, Agilent Technologies Presentation Outline

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Basic concepts in DT systems. Alexandra Branzan Albu ELEC 310-Spring 2009-Lecture 4 1

Basic concepts in DT systems. Alexandra Branzan Albu ELEC 310-Spring 2009-Lecture 4 1 Basic concepts in DT systems Alexandra Branzan Albu ELEC 310-Spring 2009-Lecture 4 1 Readings and homework For DT systems: Textbook: sections 1.5, 1.6 Suggested homework: pp. 57-58: 1.15 1.16 1.18 1.19

More information

ECE 497 JS Lecture - 11 Modeling Devices for SI

ECE 497 JS Lecture - 11 Modeling Devices for SI ECE 497 JS Lecture 11 Modeling Devices for SI Spring 2004 Jose E. SchuttAine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday Feb 26 th NO CLASS Tuesday

More information

UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC

UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC UNISONIC TECHNOLOGIES CO., LTD L16B45 Preliminary CMOS IC 16-BIT CONSTANT CURRENT LED SINK DRIVER DESCRIPTION The UTC L16B45 is designed for LED displays. UTC L16B45 contains a serial buffer and data latches

More information

Statistical and System Transfer Function Based Method For Jitter and Noise Estimation In Communication Design and Test

Statistical and System Transfer Function Based Method For Jitter and Noise Estimation In Communication Design and Test Statistical and System Transfer Function Based Method For Jitter and Noise Estimation In Communication Design and Test Mike Li and Jan Wilstrup Wavecrest Purposes Illustrate the shortfalls of simple parametric

More information

Modeling and Analysis of Systems Lecture #3 - Linear, Time-Invariant (LTI) Systems. Guillaume Drion Academic year

Modeling and Analysis of Systems Lecture #3 - Linear, Time-Invariant (LTI) Systems. Guillaume Drion Academic year Modeling and Analysis of Systems Lecture #3 - Linear, Time-Invariant (LTI) Systems Guillaume Drion Academic year 2015-2016 1 Outline Systems modeling: input/output approach and LTI systems. Convolution

More information

Voltage-Controlled Oscillator (VCO)

Voltage-Controlled Oscillator (VCO) Voltage-Controlled Oscillator (VCO) Desirable characteristics: Monotonic f osc vs. V C characteristic with adequate frequency range f max f osc Well-defined K vco f min slope = K vco VC V C in V K F(s)

More information

IC MACROMODELS FROM ON-THE-FLY TRANSIENT RESPONSES

IC MACROMODELS FROM ON-THE-FLY TRANSIENT RESPONSES IBIS Summit @ DATE, Mar. 1, 26 MACROMODELS FROM ON-THE-FLY TRANSIENT RESPONSES F.G.Canavero, I.A.Maio, I.S.Stievano Dipartimento di Elettronica, Politecnico di Torino, Italy http://www.emc.polito.it/ IBIS

More information

ECE 497 JS Lecture - 13 Projects

ECE 497 JS Lecture - 13 Projects ECE 497 JS Lecture - 13 Projects Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 ECE 497 JS - Projects All projects should be accompanied

More information

Qualification of tabulated scattering parameters

Qualification of tabulated scattering parameters Qualification of tabulated scattering parameters Stefano Grivet Talocia Politecnico di Torino, Italy IdemWorks s.r.l. stefano.grivet@polito.it 4 th IEEE Workshop on Signal Propagation on Interconnects

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

Dr. Cathy Liu Dr. Michael Steinberger. A Brief Tour of FEC for Serial Link Systems

Dr. Cathy Liu Dr. Michael Steinberger. A Brief Tour of FEC for Serial Link Systems Prof. Shu Lin Dr. Cathy Liu Dr. Michael Steinberger U.C.Davis Avago SiSoft A Brief Tour of FEC for Serial Link Systems Outline Introduction Finite Fields and Vector Spaces Linear Block Codes Cyclic Codes

More information

DesignCon 2008 EDA365. Modeling a Phase Interpolator as a Delta-Sigma Converter. Andy Martwick

DesignCon 2008 EDA365. Modeling a Phase Interpolator as a Delta-Sigma Converter. Andy Martwick DesignCon 28 Modeling a Phase Interpolator as a Delta-Sigma Converter Andy Martwick andy.martwick@intel.com Abstract This paper provides a model and analysis of the frequency response and key characteristics

More information

0805 Size Differential Chip Delay Line. S o l v e s t h e p r o b l e m s o f s p a c e u s a g e a n d t h e c h a r a c t e r i s t i c

0805 Size Differential Chip Delay Line. S o l v e s t h e p r o b l e m s o f s p a c e u s a g e a n d t h e c h a r a c t e r i s t i c FEATURES SPECIFICATIONS Smaller & lower cost than our existing products 10ps increments 15GHz Band width, available for 10Gbps data signals Suitable for inter-lane de-skew on multi-lane high speed serial

More information

ULTRASCALE FPGA DDR MT/S SYSTEM LEVEL DESIGN OPTIMIZATION AND VALIDATION

ULTRASCALE FPGA DDR MT/S SYSTEM LEVEL DESIGN OPTIMIZATION AND VALIDATION ULTRASCALE FPGA DDR4 2400 MT/S SYSTEM LEVEL DESIGN OPTIMIZATION AND VALIDATION Authors Thomas To, Xilinx Inc. Penglin Niu, Xilinx Inc. Juan Wang, Xilinx Inc. Changyi Su, Xilinx Inc. Chong Ling Khoo, Xilinx

More information

PREDICTING FIRST-ORDER PMD OUTAGE RATES ON LONG-HAUL OPTICAL FIBER LINKS USING MEASURED AND MODELED TEMPORAL AND SPECTRAL DGD DATA

PREDICTING FIRST-ORDER PMD OUTAGE RATES ON LONG-HAUL OPTICAL FIBER LINKS USING MEASURED AND MODELED TEMPORAL AND SPECTRAL DGD DATA PREDICTING FIRST-ORDER PMD OUTAGE RATES ON LONG-HAUL OPTICAL FIBER LINKS USING MEASURED AND MODELED TEMPORAL AND SPECTRAL DGD DATA Ph.D. Final Oral Exam Nov. 4 th, 2005 Pradeep Kumar Kondamuri Committee:

More information

Chapter 2 Time-Domain Representations of LTI Systems

Chapter 2 Time-Domain Representations of LTI Systems Chapter 2 Time-Domain Representations of LTI Systems 1 Introduction Impulse responses of LTI systems Linear constant-coefficients differential or difference equations of LTI systems Block diagram representations

More information

Discrete-Time Systems

Discrete-Time Systems FIR Filters With this chapter we turn to systems as opposed to signals. The systems discussed in this chapter are finite impulse response (FIR) digital filters. The term digital filter arises because these

More information

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing

Analog Simulation. Digital simulation. Analog simulation. discrete values. discrete timing. continuous values. continuous timing Analog Simulation Digital simulation discrete values bit, boolean, enumerated, integer exception - floating point discrete timing cycle based - uniform time intervals event based - nonuniform time intervals

More information

Appendix A Solving Systems of Nonlinear Equations

Appendix A Solving Systems of Nonlinear Equations Appendix A Solving Systems of Nonlinear Equations Chapter 4 of this book describes and analyzes the power flow problem. In its ac version, this problem is a system of nonlinear equations. This appendix

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999 UNIVERSITY OF CLIFORNI College of Engineering Department of Electrical Engineering and Computer Sciences Professor Oldham Fall 1999 EECS 40 FINL EXM 13 December 1999 Name: Last, First Student ID: T: Kusuma

More information

Cavity Solitons positioning and drift in presence of a phase gradient

Cavity Solitons positioning and drift in presence of a phase gradient Cavity Solitons positioning and drift in presence of a phase gradient F. Pedaci, S. Barland, E. Caboche, P. Genevet, M. Giudici, J. Tredicce Institut non linéaire de Nice Acknowledge: FunFACS CEE project

More information

6.02 Fall 2012 Lecture #10

6.02 Fall 2012 Lecture #10 6.02 Fall 2012 Lecture #10 Linear time-invariant (LTI) models Convolution 6.02 Fall 2012 Lecture 10, Slide #1 Modeling Channel Behavior codeword bits in generate x[n] 1001110101 digitized modulate DAC

More information

The objective of this LabVIEW Mini Project was to understand the following concepts:

The objective of this LabVIEW Mini Project was to understand the following concepts: 1. Objective The objective of this LabVIEW Mini Project was to understand the following concepts: The convolution of two functions Creating LABVIEW Virtual Instruments see the visual representation of

More information

XAUI/XGXS Proposal. IEEE 802.3ae

XAUI/XGXS Proposal. IEEE 802.3ae XAUI/XGXS Proposal By: Brad Booth (Intel) Steve Dreyer (nserial) Fred Weniger (Vitesse) Koichiro Seto (Hitachi Cable) Shimon Muller (Sun) Shawn Rogers (Texas Instruments) Vipul Bhatt (Finisar) Kevin Daines

More information

Introduction to Signals and Systems Lecture #4 - Input-output Representation of LTI Systems Guillaume Drion Academic year

Introduction to Signals and Systems Lecture #4 - Input-output Representation of LTI Systems Guillaume Drion Academic year Introduction to Signals and Systems Lecture #4 - Input-output Representation of LTI Systems Guillaume Drion Academic year 2017-2018 1 Outline Systems modeling: input/output approach of LTI systems. Convolution

More information

How Design of Experiments Saved my CEI VSR 28G Design

How Design of Experiments Saved my CEI VSR 28G Design DesignCon 2014 How Design of Experiments Saved my CEI VSR 28G Design Richard Allred, SiSoft [rallred@sisoft.com] Barry Katz, SiSoft Ishwar Hosagrahar, Inphi Inc. Chao Xu, Inphi Inc. Wiley Gillmor, SiSoft

More information

Knowledge Integration Module 1 Fall 2016

Knowledge Integration Module 1 Fall 2016 Knowledge Integration Module 1 Fall 2016 1 Basic Objective of KI-1: The knowledge integration module 1 or KI-1 is a vehicle to help you better grasp the commonality and correlations between concepts covered

More information

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits

An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits An Approximate Parallel Multiplier with Deterministic Errors for Ultra-High Speed Integrated Optical Circuits Jun Shiomi 1, Tohru Ishihara 1, Hidetoshi Onodera 1, Akihiko Shinya 2, Masaya Notomi 2 1 Graduate

More information

(t ) a 1. (t ).x 1..y 1

(t ) a 1. (t ).x 1..y 1 Introduction to the convolution Experiment # 4 LTI S ystems & Convolution Amongst the concepts that cause the most confusion to electrical engineering students, the Convolution Integral stands as a repeat

More information

III. Time Domain Analysis of systems

III. Time Domain Analysis of systems 1 III. Time Domain Analysis of systems Here, we adapt properties of continuous time systems to discrete time systems Section 2.2-2.5, pp 17-39 System Notation y(n) = T[ x(n) ] A. Types of Systems Memoryless

More information

Frequency Detection of CDRs (1)

Frequency Detection of CDRs (1) Frequency Detection of CDs (1) ecall that faster PLL locking can be accomplished by use of a phase-frequency detector (PFD): V in V up V up V dn -4 π -2 π +2 π +4 π φ in φ out 2V swing V f V dn K pd =

More information

Five Myths about the PDN

Five Myths about the PDN Slide -1 A copy of the slides is available on www.bethesignal.com: search VL-180 or PPT-180 Five Myths about the PDN Eric Bogatin, eric@bethesignal.com Signal Integrity Evangelist Bogatin Enterprises www.bethesignal.com

More information

EE4512 Analog and Digital Communications Chapter 4. Chapter 4 Receiver Design

EE4512 Analog and Digital Communications Chapter 4. Chapter 4 Receiver Design Chapter 4 Receiver Design Chapter 4 Receiver Design Probability of Bit Error Pages 124-149 149 Probability of Bit Error The low pass filtered and sampled PAM signal results in an expression for the probability

More information

An Ultrafast Optical Digital Technology

An Ultrafast Optical Digital Technology An Ultrafast Optical Digital Technology Smart Light Stanford University EE380 Corporation 2.28.07 Overview Overview Background What and How methodology optical state machines Why smart speed power size

More information

Modeling I/O Links With X Parameters

Modeling I/O Links With X Parameters Modeling I/O Links With X Parameters José E. Schutt Ainé and Pavle Milosevic Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign Urbana, IL 61801 Wendemagegnehu

More information

Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA. Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller

Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA. Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller Conversion from Linear to Circular Polarization and Stokes Parameters in FPGA Koyel Das, Alan Roy, Gino Tuccari, Reinhard Keller Purpose 1. Conventionally, for the formation of circular polarization, analogue

More information

Study of DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flow

Study of DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flow Study f DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flw Asian IBIS Summit Tky, Japan Nvember 2th, 28 Wei-kai Shih, SPISim Wei-kai.Shih@spisim.cm Wei-hsing Huang, SPISim Wei-huang.Huang@spisim.cm Agenda:

More information

Analytic Solutions for Periodically Loaded Transmission Line Modeling

Analytic Solutions for Periodically Loaded Transmission Line Modeling Analytic Solutions for Periodically Loaded Transmission Line Modeling Paul G. Huray, huray@sc.edu Priya Pathmanathan, Intel priyap@qti.qualcomm.com Steve Pytel, Intel steve.pytel@ansys.com April 4, 2014

More information

Enforcement Passivity. Frequency Data. Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li. Asian IBIS Summit, Taipei, Taiwan November 12, 2010

Enforcement Passivity. Frequency Data. Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li. Asian IBIS Summit, Taipei, Taiwan November 12, 2010 Enforcement Passivity of S-parameter S Sampled Frequency Data Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li Asian IBIS Summit, Taipei, Taiwan November 12, 2010 Agenda Causality and passivity

More information

FAN ma, Low-IQ, Low-Noise, LDO Regulator

FAN ma, Low-IQ, Low-Noise, LDO Regulator April 2014 FAN25800 500 ma, Low-I Q, Low-Noise, LDO Regulator Features V IN: 2.3 V to 5.5 V V OUT = 3.3 V (I OUT Max. = 500 ma) V OUT = 5.14 V (I OUT Max. = 250 ma) Output Noise Density at 250 ma and 10

More information

Ranging detection algorithm for indoor UWB channels

Ranging detection algorithm for indoor UWB channels Ranging detection algorithm for indoor UWB channels Choi Look LAW and Chi XU Positioning and Wireless Technology Centre Nanyang Technological University 1. Measurement Campaign Objectives Obtain a database

More information

Cosc 3451 Signals and Systems. What is a system? Systems Terminology and Properties of Systems

Cosc 3451 Signals and Systems. What is a system? Systems Terminology and Properties of Systems Cosc 3451 Signals and Systems Systems Terminology and Properties of Systems What is a system? an entity that manipulates one or more signals to yield new signals (often to accomplish a function) can be

More information

ECE 546 Lecture 23 Jitter Basics

ECE 546 Lecture 23 Jitter Basics ECE 546 Lecture 23 Jitter Basics Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Probe Further D. Derickson and M.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

SI/PI PCB Design Considerations for Thermal

SI/PI PCB Design Considerations for Thermal SI/PI PCB Design Considerations for Thermal HEESOO LEE Lead Application Developer PIPro Power Integrity Professional Agenda Thermal effects on Signal Integrity and Power Integrity Case study Conclusion

More information

Accurate Fourier Analysis for Circuit Simulators

Accurate Fourier Analysis for Circuit Simulators Accurate Fourier Analysis for Circuit Simulators Kenneth S. Kundert Cadence Design Systems (Based on Presentation to CICC 94) Abstract A new approach to Fourier analysis within the context of circuit simulation

More information

PDN Planning and Capacitor Selection, Part 2

PDN Planning and Capacitor Selection, Part 2 by Barry Olney column BEYOND DESIGN PDN Planning and Capacitor Selection, Part 2 In last month s column, PDN Planning and Capacitor Selection Part 1, we looked closely at how to choose the right capacitor

More information

3-TERMINAL POSITIVE LINEAR REGULATOR General Description. Features. Applications

3-TERMINAL POSITIVE LINEAR REGULATOR General Description. Features. Applications General Description The series are three terminal positive regulators with several fixed output voltages. These regulators can provide local on card regulation, eliminating the distribution problems associated

More information

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region EE105 Fall 014 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 NMOS Transistor Capacitances: Saturation Region Drain no longer connected to channel

More information

Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package

Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package Proceedings of the Electrosoft 93 1, july 6-8, 1993, Southampton, U.K. T. Smedes, N.P.

More information

Broadband material model identification with GMS-parameters

Broadband material model identification with GMS-parameters Broadband material model identification with GMS-parameters Yuriy Olegovich Shlepnev Simberian Inc. shlepnev@simberian.com 2015 EPEPS Conference, October 27, 2015 2015 Simberian Inc. Outline Introduction

More information

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption Evaluating Power for Altera Devices May 1999, ver. 3 Application Note 74 Introduction A critical element of system reliability is the capacity of electronic devices to safely dissipate the heat generated

More information

LF Electromagnetics. Marius Rosu, PhD. Vincent Delafosse. EM Lead Product Manager. EM Senior Product Manager ANSYS, Inc.

LF Electromagnetics. Marius Rosu, PhD. Vincent Delafosse. EM Lead Product Manager. EM Senior Product Manager ANSYS, Inc. LF Electromagnetics 14.0 Updates 1 Marius Rosu, PhD EM Lead Product Manager Vincent Delafosse EM Senior Product Manager 2 R14 Highlights Simplorer Co simulation with RBD Push Back excitations for EMI/EMC

More information

Graser User Conference Only

Graser User Conference Only PCB Power Delivery Design from DC to Mid-Frequency Foxconn Abby Chou Company Introduction February 1974 Tucheng District 1.23 million Server Storage Mobile Phone Pad TV Voltage Drop and Thermal Co-Simulation

More information

IO-Link Data Reference Guide: K50 Pro Touch Button with IO-Link

IO-Link Data Reference Guide: K50 Pro Touch Button with IO-Link IO-Link Data Reference Guide: K50 Pro Touch Button with IO-Link IO-Link Data Map This document refers to the following IODD file: Banner_Engineering-K50PTKQ-20180829-IODD1.1.xml. The IODD file and support

More information

Quality metrics for S-parameter models

Quality metrics for S-parameter models Quality metrics for S-parameter models DesignCon IBIS Summit, Santa Clara, February 4, 2010 Yuriy Shlepnev shlepnev@simberian.com Copyright 2010 by Simberian Inc. Reuse by written permission only. All

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Multiple-Input Multiple-Output Systems

Multiple-Input Multiple-Output Systems Multiple-Input Multiple-Output Systems What is the best way to use antenna arrays? MIMO! This is a totally new approach ( paradigm ) to wireless communications, which has been discovered in 95-96. Performance

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

Experimental Characterization of Nonlinear Dynamics from Chua s Circuit

Experimental Characterization of Nonlinear Dynamics from Chua s Circuit Experimental Characterization of Nonlinear Dynamics from Chua s Circuit Patrick Chang, Edward Coyle, John Parker, Majid Sodagar NLD class final presentation 12/04/2012 Outline Introduction Experiment setup

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Using Digitally Controlled Impedance: Signal Integrity vs. Power Dissipation Considerations

Using Digitally Controlled Impedance: Signal Integrity vs. Power Dissipation Considerations XAPP863 (v1.0) June 1, 007 Application Note:, Virtex-4, and Spartan-3 Generation Devices Using Digitally Controlled Impedance: Signal Integrity vs. Power Dissipation Considerations Author: David Banas

More information

SHM-14 Ultra-Fast, 14-Bit Linear Monolithic Sample-Hold Amplifiers

SHM-14 Ultra-Fast, 14-Bit Linear Monolithic Sample-Hold Amplifiers INNOVATION and EX C ELL E N C E Ultra-Fast, 1-Bit Linear Monolithic Sample-Hold Amplifiers FEATURES Fast acquisition time: 10ns to ±0.1% 0ns to ±0.0% ns to ±0.01% ±0.001% Nonlinearity 6µV rms output noise

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

Dielectric and Conductor Roughness Model Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz

Dielectric and Conductor Roughness Model Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz JANUARY 28-31, 2014 SANTA CLARA CONVENTION CENTER Dielectric and Conductor Roughness Model Identification for Successful PCB and Packaging Interconnect Design up to 50 GHz Dr. Yuriy Shlepnev Simberian

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

FSUSB31 Low-Power 1-Port Hi-Speed USB 2.0 (480Mbps) Switch

FSUSB31 Low-Power 1-Port Hi-Speed USB 2.0 (480Mbps) Switch Low-Power 1-Port Hi-Speed USB 2.0 (480Mbps) Switch Features Low On capacitance, 3.7pF (typical) Low On resistance, 6.5Ω (typical) Low power consumption (1µA maximum) 10µA maximum I CCT over and expanded

More information