Study of DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flow

Size: px
Start display at page:

Download "Study of DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flow"

Transcription

1 Study f DDR Asymmetric Rt/Ft in Existing IBIS-AMI Flw Asian IBIS Summit Tky, Japan Nvember 2th, 28 Wei-kai Shih, SPISim Wei-kai.Shih@spisim.cm Wei-hsing Huang, SPISim Wei-huang.Huang@spisim.cm

2 Agenda: Mtivatin Backgrund Asymmetric Rt/Ft AMI_Init AMI_GetWave Summary Q & A 2

3 Mtivatin IBIS-AMI analysis flws: Statistical: use impulse respnse and AMI_Init Time-dmain: use cnvlutin and mainly AMI_GetWave Existing applicatins fcused n SERDES Differential, centered arund V =. Symmetric rise-time (Rt) /fall-time (Ft) Hw DDR may wrk in existing AMI flw? Single-ended e.g. DQ Asymmetric Rt/Ft 3

4 Backgrund /2 Statistical AMI flw: [*] Impulse Respnse fr analg + channel (Linear Time Invariant, LTI) Samples -> PDF -> CDF -> BER/Eye 4 [*] IBIS Specificatin Sectin. ( CDF

5 Backgrund 2/2 Time-dmain AMI flw: Analg + channel s respnses t ne blck f bit-sequence Cnvlve with Tx/Rx s AMI_GetWave respectively 5

6 Asymmetric Rt/Ft t Impulse: Linear transfrm between Rt/Ft: Rise: y (t) = x t h t Fall: y 2 (t) = x 2 t h(t) Fall: x 2 (t) = x t Xfrm(t) => y 2 (t) = y t Xfrm(t) Simulatr knws y & y 2, thus Xfrm t. It can then recnstruct either y r y frm y 2 2 r y used in AMI_Init DC inf disappeared during differentiatin (t get impulse respnse). Has gap! Need specificatin change r new parameter t cnvert t single-ended. h(t) x (t) x 2 (t) y (t) y 2 (t) 6

7 Example: Matlab/Octave pseud-cde: Rise step respnse Fall step respnse (inverted) Nminal fall respnse Recnstructed fall respnse using rising respnse 7

8 Asymmetric Rt/Ft t Eye: Cnstruct different eyes prtins using eyes generated by rise respnse and fall respnse (different slew rate) Eye will be asymmetric as well. Nminal fall respnse Recnstructed fall respnse using rising respnse Real case: (IBIS + Lssy Channel) R F R F 8

9 ISI Eye Cnstructin with a Tree Structure 3 2 Cursr () - Let V n ab be the cntributin f ISI frm the nthpre cursr edge when the nth pre cursr=a and (n )th pre cursr=b, i.e. the nth pre cursr edge is an a b transitin When 2nd pre cursr lgic value =, cursr lgic value =, all pssible values fr the accumulated ISI frm 2nd and st pre cursrs can be put int a rw vectr : V 2 + V, V 2 + V. There are tw elements in the vectr due t tw pssible values f the st pre-cursr Extending t the 3 rd pre-cursr: When 3 rd pre-cursr =, there are 4 pssible accumulated ISI values V 3 + V 2 + V, V 3 + V 2 + V and V 3 + V 2 + V, V 3 + V 2 + V 9

10 Recursive Algrithm fr ISI Eye Cnstructin n n- n-2 Cursr () xxxxxxxxx W n ab : rw vectr cnsisting all pssible values f the accumulated ISI frm the nth pre cursr t cursr when lgic value f the nth pre cursr is a and lgic value at cursr is b W = V W = V W 2 = V 2 + V, V 2 + V W 2 = V 2 + V, V 2 + V. W n = V n + W n, V n + W n W n = V n + W n, V n + W n

11 PDF Cmputatin fr ISI Eye Wavefrm value PDF f the wavefrm value V n ab P Vn (ab)(v) = δ V V n ab Ntes W P W = P V () P W = P V () W n W n P Wn = 2 P W n P Vn V + 2 P W n P Vn P Wn = 2 P W n P Vn V + 2 P W n P Vn This is a Dirac delta when there is n jitter (ISI takes discrete value withut jitter) With jitter the Dirac delta will spread ut int a cntinuus distributin. But the recursive relatin remains same

12 Asymmetric Rt/Ft t GetWave: Result will be OK if: Bit-sequence wavefrm at Rx is simulated result frm bit-sequence input at Tx This may nt be the case mstly as it takes lnger t run. Simulated Result will have errrs if: Final wavefrm at Rx is frm ne bit simulated Rx respnse cnvlved with bit-sequence impulse at Tx Cnvlve with 2

13 Asymmetric Rt/Ft t GetWave: Bit using cnvlutin with symmetric Rt/Ft Glitch will happen fr asymmetric Rt/Ft 3

14 Asymmetric Rt/Ft t GetWave: Matlab/Octave pseud-cde: One bit respnses 4

15 Summary: Existing IBIS-AMI flw: Can be used fr driver with asymmetric Rt/Ft. Asymmetric effects can be handled within EDA tls/simulatr. Assuming AMI mdel des nt behave differently t rise/fall respnses. Statistical flw: Linear transfrm between rise/fall can be applied t mdel s respnse. Use rise and fall respnse t cnstruct eye. Tree/sequence based superpsitin will eliminate these glitches. 5 Time-dmain flw: Cnvlutin using ne bit pulse will have errrs. Using step repnse based superpsitin may avid such errrs.

16 EDA Expertise in Signal, Pwer Integrity & Simulatin 6 SPISim is an InSync member.

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN60: Netwrk Thery Bradband Circuit Design Fall 01 Lecture 16: VCO Phase Nise Sam Palerm Analg & Mixed-Signal Center Texas A&M University Agenda Phase Nise Definitin and Impact Ideal Oscillatr Phase

More information

Review Problems 3. Four FIR Filter Types

Review Problems 3. Four FIR Filter Types Review Prblems 3 Fur FIR Filter Types Fur types f FIR linear phase digital filters have cefficients h(n fr 0 n M. They are defined as fllws: Type I: h(n = h(m-n and M even. Type II: h(n = h(m-n and M dd.

More information

ENSC Discrete Time Systems. Project Outline. Semester

ENSC Discrete Time Systems. Project Outline. Semester ENSC 49 - iscrete Time Systems Prject Outline Semester 006-1. Objectives The gal f the prject is t design a channel fading simulatr. Upn successful cmpletin f the prject, yu will reinfrce yur understanding

More information

EEO 401 Digital Signal Processing Prof. Mark Fowler

EEO 401 Digital Signal Processing Prof. Mark Fowler EEO 401 Digital Signal Prcessing Prf. Mark Fwler Intrductin Nte Set #1 ading Assignment: Ch. 1 f Prakis & Manlakis 1/13 Mdern systems generally DSP Scenari get a cntinuus-time signal frm a sensr a cnt.-time

More information

Presenters. Time Domain and Statistical Model Development, Simulation and Correlation Methods for High Speed SerDes

Presenters. Time Domain and Statistical Model Development, Simulation and Correlation Methods for High Speed SerDes JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER Time Domain and Statistical Model Development, Simulation and Correlation Methods or High Speed SerDes Presenters Xingdong Dai Fangyi Rao Shiva Prasad

More information

Differentiation Applications 1: Related Rates

Differentiation Applications 1: Related Rates Differentiatin Applicatins 1: Related Rates 151 Differentiatin Applicatins 1: Related Rates Mdel 1: Sliding Ladder 10 ladder y 10 ladder 10 ladder A 10 ft ladder is leaning against a wall when the bttm

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Netwrk Thery Bradband Circuit Design Fall 014 Lecture 11: VCO Phase Nise Sam Palerm Analg & Mixed-Signal Center Texas A&M University Annuncements & Agenda HW3 is due tday at 5PM Phase Nise Definitin

More information

Dead-beat controller design

Dead-beat controller design J. Hetthéssy, A. Barta, R. Bars: Dead beat cntrller design Nvember, 4 Dead-beat cntrller design In sampled data cntrl systems the cntrller is realised by an intelligent device, typically by a PLC (Prgrammable

More information

ENG2410 Digital Design Sequential Circuits: Part A

ENG2410 Digital Design Sequential Circuits: Part A ENG2410 Digital Design Sequential Circuits: Part A Fall 2017 S. Areibi Schl f Engineering University f Guelph Week #6 Tpics Sequential Circuit Definitins Latches Flip-Flps Delays in Sequential Circuits

More information

2.161 Signal Processing: Continuous and Discrete Fall 2008

2.161 Signal Processing: Continuous and Discrete Fall 2008 MIT OpenCurseWare http://cw.mit.edu 2.161 Signal Prcessing: Cntinuus and Discrete Fall 2008 Fr infrmatin abut citing these materials r ur Terms f Use, visit: http://cw.mit.edu/terms. Massachusetts Institute

More information

Physical Layer: Outline

Physical Layer: Outline 18-: Intrductin t Telecmmunicatin Netwrks Lectures : Physical Layer Peter Steenkiste Spring 01 www.cs.cmu.edu/~prs/nets-ece Physical Layer: Outline Digital Representatin f Infrmatin Characterizatin f Cmmunicatin

More information

Checking the resolved resonance region in EXFOR database

Checking the resolved resonance region in EXFOR database Checking the reslved resnance regin in EXFOR database Gttfried Bertn Sciété de Calcul Mathématique (SCM) Oscar Cabells OECD/NEA Data Bank JEFF Meetings - Sessin JEFF Experiments Nvember 0-4, 017 Bulgne-Billancurt,

More information

Chapter 3: Cluster Analysis

Chapter 3: Cluster Analysis Chapter 3: Cluster Analysis } 3.1 Basic Cncepts f Clustering 3.1.1 Cluster Analysis 3.1. Clustering Categries } 3. Partitining Methds 3..1 The principle 3.. K-Means Methd 3..3 K-Medids Methd 3..4 CLARA

More information

Keysight Technologies Understanding the Kramers-Kronig Relation Using A Pictorial Proof

Keysight Technologies Understanding the Kramers-Kronig Relation Using A Pictorial Proof Keysight Technlgies Understanding the Kramers-Krnig Relatin Using A Pictrial Prf By Clin Warwick, Signal Integrity Prduct Manager, Keysight EEsf EDA White Paper Intrductin In principle, applicatin f the

More information

Anisotropic Substrates Variance for IBIS-AMI Simulation

Anisotropic Substrates Variance for IBIS-AMI Simulation Anisotropic Substrates Variance for IBIS-AMI Simulation Naijen Hsuan naijen.hsuan@ansys.com Asian IBIS Summit Shanghai, China November 15, 2013 1 High speed Challenges Today (1) High Speed Data Rate Issue

More information

CPM plans: the short, the medium and the long

CPM plans: the short, the medium and the long plans: the shrt, the medium and the lng Summary f recent prgress Next steps New Test sftware/firmware Slice test/beam test requirements Hw can we use current s? D we need mre new s? rductin testing requirements

More information

MATHEMATICS SYLLABUS SECONDARY 5th YEAR

MATHEMATICS SYLLABUS SECONDARY 5th YEAR Eurpean Schls Office f the Secretary-General Pedaggical Develpment Unit Ref. : 011-01-D-8-en- Orig. : EN MATHEMATICS SYLLABUS SECONDARY 5th YEAR 6 perid/week curse APPROVED BY THE JOINT TEACHING COMMITTEE

More information

CONSTRUCTING STATECHART DIAGRAMS

CONSTRUCTING STATECHART DIAGRAMS CONSTRUCTING STATECHART DIAGRAMS The fllwing checklist shws the necessary steps fr cnstructing the statechart diagrams f a class. Subsequently, we will explain the individual steps further. Checklist 4.6

More information

Fall 2013 Physics 172 Recitation 3 Momentum and Springs

Fall 2013 Physics 172 Recitation 3 Momentum and Springs Fall 03 Physics 7 Recitatin 3 Mmentum and Springs Purpse: The purpse f this recitatin is t give yu experience wrking with mmentum and the mmentum update frmula. Readings: Chapter.3-.5 Learning Objectives:.3.

More information

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink

Design and Simulation of Dc-Dc Voltage Converters Using Matlab/Simulink American Jurnal f Engineering Research (AJER) 016 American Jurnal f Engineering Research (AJER) e-issn: 30-0847 p-issn : 30-0936 Vlume-5, Issue-, pp-9-36 www.ajer.rg Research Paper Open Access Design and

More information

Micro and Smart Systems

Micro and Smart Systems Micr and Smart Systems Lecture 33 OpAmps Circuits and signal cnditining fr micrsystems devices Prf K.N.Bhat, ECE Department, IISc Bangalre email: knbhat@gmail.cm Tpics fr Discussin Amplifiers and Op Amp

More information

Source Coding and Compression

Source Coding and Compression Surce Cding and Cmpressin Heik Schwarz Cntact: Dr.-Ing. Heik Schwarz heik.schwarz@hhi.fraunhfer.de Heik Schwarz Surce Cding and Cmpressin September 22, 2013 1 / 60 PartI: Surce Cding Fundamentals Heik

More information

ENG2410 Digital Design Sequential Circuits: Part B

ENG2410 Digital Design Sequential Circuits: Part B ENG24 Digital Design Sequential Circuits: Part B Fall 27 S. Areibi Schl f Engineering University f Guelph Analysis f Sequential Circuits Earlier we learned hw t analyze cmbinatinal circuits We will extend

More information

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS

ECE 497 JS Lecture - 14 Projects: FDTD & LVDS ECE 497 JS Lecture - 14 Prjects: FDTD & LVDS Spring 2004 Jse E. Schutt-Aine Electrical & Cmputer Engineering University f Illinis jse@emlab.uiuc.edu 1 ECE 497 JS - Prjects All prjects shuld be accmpanied

More information

Statistics, Numerical Models and Ensembles

Statistics, Numerical Models and Ensembles Statistics, Numerical Mdels and Ensembles Duglas Nychka, Reinhard Furrer,, Dan Cley Claudia Tebaldi, Linda Mearns, Jerry Meehl and Richard Smith (UNC). Spatial predictin and data assimilatin Precipitatin

More information

Lecture 13: Markov Chain Monte Carlo. Gibbs sampling

Lecture 13: Markov Chain Monte Carlo. Gibbs sampling Lecture 13: Markv hain Mnte arl Gibbs sampling Gibbs sampling Markv chains 1 Recall: Apprximate inference using samples Main idea: we generate samples frm ur Bayes net, then cmpute prbabilities using (weighted)

More information

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y )

[COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t o m a k e s u r e y o u a r e r e a d y ) (Abut the final) [COLLEGE ALGEBRA EXAM I REVIEW TOPICS] ( u s e t h i s t m a k e s u r e y u a r e r e a d y ) The department writes the final exam s I dn't really knw what's n it and I can't very well

More information

Accurate Statistical Analysis of High-Speed Links with PAM-4 Modulation

Accurate Statistical Analysis of High-Speed Links with PAM-4 Modulation EPEPS IBIS Summit San Jose, California October 8, 05 Accurate Statistical Analsis of High-Speed Links with PAM-4 Modulation Vladimir Dmitriev-Zdorov Mentor Graphics Corp., vladimir_dmitriev-zdorov@mentor.com

More information

Chapter 3 Digital Transmission Fundamentals

Chapter 3 Digital Transmission Fundamentals Chapter 3 Digital Transmissin Fundamentals Errr Detectin and Crrectin Errr Cntrl Digital transmissin systems intrduce errrs, BER ranges frm 10-3 fr wireless t 10-9 fr ptical fiber Applicatins require certain

More information

Intelligent Pharma- Chemical and Oil & Gas Division Page 1 of 7. Global Business Centre Ave SE, Calgary, AB T2G 0K6, AB.

Intelligent Pharma- Chemical and Oil & Gas Division Page 1 of 7. Global Business Centre Ave SE, Calgary, AB T2G 0K6, AB. Intelligent Pharma- Chemical and Oil & Gas Divisin Page 1 f 7 Intelligent Pharma Chemical and Oil & Gas Divisin Glbal Business Centre. 120 8 Ave SE, Calgary, AB T2G 0K6, AB. Canada Dr. Edelsys Cdrniu-Business

More information

Appendix I: Derivation of the Toy Model

Appendix I: Derivation of the Toy Model SPEA ET AL.: DYNAMICS AND THEMODYNAMICS OF MAGMA HYBIDIZATION Thermdynamic Parameters Appendix I: Derivatin f the Ty Mdel The ty mdel is based upn the thermdynamics f an isbaric twcmpnent (A and B) phase

More information

ELT COMMUNICATION THEORY

ELT COMMUNICATION THEORY ELT 41307 COMMUNICATION THEORY Matlab Exercise #2 Randm variables and randm prcesses 1 RANDOM VARIABLES 1.1 ROLLING A FAIR 6 FACED DICE (DISCRETE VALIABLE) Generate randm samples fr rlling a fair 6 faced

More information

ELEG 635 Digital Communication Theory. Lecture 9

ELEG 635 Digital Communication Theory. Lecture 9 ELEG 635 Digital Cmmunicatin Thery Lecture 9 10501 Agenda Optimal receiver fr PSK Effects f fading n BER perfrmance Tw ray mdel Pulse shaping Rectangle Raised csine Rt raised csine Receivers and pulse

More information

Distributions, spatial statistics and a Bayesian perspective

Distributions, spatial statistics and a Bayesian perspective Distributins, spatial statistics and a Bayesian perspective Dug Nychka Natinal Center fr Atmspheric Research Distributins and densities Cnditinal distributins and Bayes Thm Bivariate nrmal Spatial statistics

More information

Lead/Lag Compensator Frequency Domain Properties and Design Methods

Lead/Lag Compensator Frequency Domain Properties and Design Methods Lectures 6 and 7 Lead/Lag Cmpensatr Frequency Dmain Prperties and Design Methds Definitin Cnsider the cmpensatr (ie cntrller Fr, it is called a lag cmpensatr s K Fr s, it is called a lead cmpensatr Ntatin

More information

THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS

THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS THE TOPOLOGY OF SURFACE SKIN FRICTION AND VORTICITY FIELDS IN WALL-BOUNDED FLOWS M.S. Chng Department f Mechanical Engineering The University f Melburne Victria 3010 AUSTRALIA min@unimelb.edu.au J.P. Mnty

More information

Predicting BER to Very Low Probabilities

Predicting BER to Very Low Probabilities Predicting BER to Very Low Probabilities IBIS Summit, DAC, Anaheim, CA June 15, 2010 0.25 0.2 0.15 0.1 0.05 0-0.05-0.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -0.15-0.2-0.25-0.5-0.4-0.3-0.2-0.1

More information

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b

MODULE 1. e x + c. [You can t separate a demominator, but you can divide a single denominator into each numerator term] a + b a(a + b)+1 = a + b . REVIEW OF SOME BASIC ALGEBRA MODULE () Slving Equatins Yu shuld be able t slve fr x: a + b = c a d + e x + c and get x = e(ba +) b(c a) d(ba +) c Cmmn mistakes and strategies:. a b + c a b + a c, but

More information

Multiple Source Multiple. using Network Coding

Multiple Source Multiple. using Network Coding Multiple Surce Multiple Destinatin Tplgy Inference using Netwrk Cding Pegah Sattari EECS, UC Irvine Jint wrk with Athina Markpulu, at UCI, Christina Fraguli, at EPFL, Lausanne Outline Netwrk Tmgraphy Gal,

More information

Department of Electrical Engineering, University of Waterloo. Introduction

Department of Electrical Engineering, University of Waterloo. Introduction Sectin 4: Sequential Circuits Majr Tpics Types f sequential circuits Flip-flps Analysis f clcked sequential circuits Mre and Mealy machines Design f clcked sequential circuits State transitin design methd

More information

Introduction to Models and Properties

Introduction to Models and Properties Intrductin t Mdels and Prperties Cmputer Science and Artificial Intelligence Labratry MIT Armand Slar-Lezama Nv 23, 2015 Nvember 23, 2015 1 Recap Prperties Prperties f variables Prperties at prgram pints

More information

Lecture 10, Principal Component Analysis

Lecture 10, Principal Component Analysis Principal Cmpnent Analysis Lecture 10, Principal Cmpnent Analysis Ha Helen Zhang Fall 2017 Ha Helen Zhang Lecture 10, Principal Cmpnent Analysis 1 / 16 Principal Cmpnent Analysis Lecture 10, Principal

More information

AP Physics Kinematic Wrap Up

AP Physics Kinematic Wrap Up AP Physics Kinematic Wrap Up S what d yu need t knw abut this mtin in tw-dimensin stuff t get a gd scre n the ld AP Physics Test? First ff, here are the equatins that yu ll have t wrk with: v v at x x

More information

IBIS-AMI Concern for PAM4 Simulation

IBIS-AMI Concern for PAM4 Simulation IBIS-AMI Concern for PAM4 Simulation Asian IBIS Summit Tokyo, Japan November 16, 2015 Shinichi Maeda E-mail: KEI-Systems@jcom.home.ne.jp 56 Gbps coming soon How to realize 56 Gbps What is PAM4 How to simulate

More information

Revisiting the Socrates Example

Revisiting the Socrates Example Sectin 1.6 Sectin Summary Valid Arguments Inference Rules fr Prpsitinal Lgic Using Rules f Inference t Build Arguments Rules f Inference fr Quantified Statements Building Arguments fr Quantified Statements

More information

An Area Efficient (31, 16) BCH Decoder for Three Errors

An Area Efficient (31, 16) BCH Decoder for Three Errors An Area Efficient (31, 16) BCH Decder fr Three Errrs M. Prashanthi 1, P. Samundiswary 2 1 M. Tech, Department f Electrnics Engineering, Pndicherry University, Pndicherry, India 2 Assistant Prfessr, Department

More information

COMP 551 Applied Machine Learning Lecture 5: Generative models for linear classification

COMP 551 Applied Machine Learning Lecture 5: Generative models for linear classification COMP 551 Applied Machine Learning Lecture 5: Generative mdels fr linear classificatin Instructr: Herke van Hf (herke.vanhf@mail.mcgill.ca) Slides mstly by: Jelle Pineau Class web page: www.cs.mcgill.ca/~hvanh2/cmp551

More information

IAML: Support Vector Machines

IAML: Support Vector Machines 1 / 22 IAML: Supprt Vectr Machines Charles Suttn and Victr Lavrenk Schl f Infrmatics Semester 1 2 / 22 Outline Separating hyperplane with maimum margin Nn-separable training data Epanding the input int

More information

Application Of Mealy Machine And Recurrence Relations In Cryptography

Application Of Mealy Machine And Recurrence Relations In Cryptography Applicatin Of Mealy Machine And Recurrence Relatins In Cryptgraphy P. A. Jytirmie 1, A. Chandra Sekhar 2, S. Uma Devi 3 1 Department f Engineering Mathematics, Andhra University, Visakhapatnam, IDIA 2

More information

Weathering. Title: Chemical and Mechanical Weathering. Grade Level: Subject/Content: Earth and Space Science

Weathering. Title: Chemical and Mechanical Weathering. Grade Level: Subject/Content: Earth and Space Science Weathering Title: Chemical and Mechanical Weathering Grade Level: 9-12 Subject/Cntent: Earth and Space Science Summary f Lessn: Students will test hw chemical and mechanical weathering can affect a rck

More information

This section is primarily focused on tools to aid us in finding roots/zeros/ -intercepts of polynomials. Essentially, our focus turns to solving.

This section is primarily focused on tools to aid us in finding roots/zeros/ -intercepts of polynomials. Essentially, our focus turns to solving. Sectin 3.2: Many f yu WILL need t watch the crrespnding vides fr this sectin n MyOpenMath! This sectin is primarily fcused n tls t aid us in finding rts/zers/ -intercepts f plynmials. Essentially, ur fcus

More information

Lecture 17: Free Energy of Multi-phase Solutions at Equilibrium

Lecture 17: Free Energy of Multi-phase Solutions at Equilibrium Lecture 17: 11.07.05 Free Energy f Multi-phase Slutins at Equilibrium Tday: LAST TIME...2 FREE ENERGY DIAGRAMS OF MULTI-PHASE SOLUTIONS 1...3 The cmmn tangent cnstructin and the lever rule...3 Practical

More information

LED Quantity 3 SL-SPOT 3 UH

LED Quantity 3 SL-SPOT 3 UH IP Pb Pb-free RHS CMPLIANT SL-SPTSeries //// high pwer LEDs lighting RDERING INFRMATIN Mdel SL-SPT UH SL-SPT UH SL-SPT UH SL-SPT UH SL-SPT UH FEATURES SPECIFICATIN CLR RANGE SURCE LIFE LED TYPE BEAM ANGLE

More information

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: August 19, E Main Suite D Pullman, WA (509) Voice and Fax .7.4: Direct frequency dmain circuit analysis Revisin: August 9, 00 5 E Main Suite D Pullman, WA 9963 (509) 334 6306 ice and Fax Overview n chapter.7., we determined the steadystate respnse f electrical

More information

Misc. ArcMap Stuff Andrew Phay

Misc. ArcMap Stuff Andrew Phay Misc. ArcMap Stuff Andrew Phay aphay@whatcmcd.rg Prjectins Used t shw a spherical surface n a flat surface Distrtin Shape Distance True Directin Area Different Classes Thse that minimize distrtin in shape

More information

A Matrix Representation of Panel Data

A Matrix Representation of Panel Data web Extensin 6 Appendix 6.A A Matrix Representatin f Panel Data Panel data mdels cme in tw brad varieties, distinct intercept DGPs and errr cmpnent DGPs. his appendix presents matrix algebra representatins

More information

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents

Supplementary Course Notes Adding and Subtracting AC Voltages and Currents Supplementary Curse Ntes Adding and Subtracting AC Vltages and Currents As mentined previusly, when cmbining DC vltages r currents, we nly need t knw the plarity (vltage) and directin (current). In the

More information

Eric Klein and Ning Sa

Eric Klein and Ning Sa Week 12. Statistical Appraches t Netwrks: p1 and p* Wasserman and Faust Chapter 15: Statistical Analysis f Single Relatinal Netwrks There are fur tasks in psitinal analysis: 1) Define Equivalence 2) Measure

More information

ECE 545 Project Deliverables

ECE 545 Project Deliverables ECE 545 Prject Deliverables Tp-level flder: _ Secnd-level flders: 1_assumptins 2_blck_diagrams 3_interface 4_ASM_charts 5_surce_cde 6_verificatin 7_timing_analysis 8_results

More information

AQA GCSE Physics. Topic 7: Magnetism and Electromagnetism. Notes. (Content in bold is for Higher Tier only)

AQA GCSE Physics. Topic 7: Magnetism and Electromagnetism. Notes. (Content in bold is for Higher Tier only) AQA GCSE Physics Tpic 7: Magnetism and Electrmagnetism Ntes (Cntent in bld is fr Higher Tier nly) Magnets - Nrth and Suth Ples - Same Ples repel - Oppsite ples attract Permanent Magnets - Always magnetic,

More information

, which yields. where z1. and z2

, which yields. where z1. and z2 The Gaussian r Nrmal PDF, Page 1 The Gaussian r Nrmal Prbability Density Functin Authr: Jhn M Cimbala, Penn State University Latest revisin: 11 September 13 The Gaussian r Nrmal Prbability Density Functin

More information

Homology groups of disks with holes

Homology groups of disks with holes Hmlgy grups f disks with hles THEOREM. Let p 1,, p k } be a sequence f distinct pints in the interir unit disk D n where n 2, and suppse that fr all j the sets E j Int D n are clsed, pairwise disjint subdisks.

More information

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC.

1. Transformer A transformer is used to obtain the approximate output voltage of the power supply. The output of the transformer is still AC. PHYSIS 536 Experiment 4: D Pwer Supply I. Intrductin The prcess f changing A t D is investigated in this experiment. An integrated circuit regulatr makes it easy t cnstruct a high-perfrmance vltage surce

More information

NGSS High School Physics Domain Model

NGSS High School Physics Domain Model NGSS High Schl Physics Dmain Mdel Mtin and Stability: Frces and Interactins HS-PS2-1: Students will be able t analyze data t supprt the claim that Newtn s secnd law f mtin describes the mathematical relatinship

More information

You need to be able to define the following terms and answer basic questions about them:

You need to be able to define the following terms and answer basic questions about them: CS440/ECE448 Sectin Q Fall 2017 Midterm Review Yu need t be able t define the fllwing terms and answer basic questins abut them: Intr t AI, agents and envirnments Pssible definitins f AI, prs and cns f

More information

Computational modeling techniques

Computational modeling techniques Cmputatinal mdeling techniques Lecture 4: Mdel checing fr ODE mdels In Petre Department f IT, Åb Aademi http://www.users.ab.fi/ipetre/cmpmd/ Cntent Stichimetric matrix Calculating the mass cnservatin relatins

More information

Fundamental Concepts in Structural Plasticity

Fundamental Concepts in Structural Plasticity Lecture Fundamental Cncepts in Structural Plasticit Prblem -: Stress ield cnditin Cnsider the plane stress ield cnditin in the principal crdinate sstem, a) Calculate the maximum difference between the

More information

Pitch vs. Frequency:

Pitch vs. Frequency: Pitch vs. Frequency: Pitch = human ear s perceptin f frequency f a sund vibratin Lw pitch lw frequency f vibratin/scillatin High pitch high frequency f vibratin/scillatin Q: Is the relatin between {perceived}

More information

Math 302 Learning Objectives

Math 302 Learning Objectives Multivariable Calculus (Part I) 13.1 Vectrs in Three-Dimensinal Space Math 302 Learning Objectives Plt pints in three-dimensinal space. Find the distance between tw pints in three-dimensinal space. Write

More information

ECE 2100 Circuit Analysis

ECE 2100 Circuit Analysis ECE 00 Circuit Analysis Lessn 6 Chapter 4 Sec 4., 4.5, 4.7 Series LC Circuit C Lw Pass Filter Daniel M. Litynski, Ph.D. http://hmepages.wmich.edu/~dlitynsk/ ECE 00 Circuit Analysis Lessn 5 Chapter 9 &

More information

Tree Structured Classifier

Tree Structured Classifier Tree Structured Classifier Reference: Classificatin and Regressin Trees by L. Breiman, J. H. Friedman, R. A. Olshen, and C. J. Stne, Chapman & Hall, 98. A Medical Eample (CART): Predict high risk patients

More information

AIP Logic Chapter 4 Notes

AIP Logic Chapter 4 Notes AIP Lgic Chapter 4 Ntes Sectin 4.1 Sectin 4.2 Sectin 4.3 Sectin 4.4 Sectin 4.5 Sectin 4.6 Sectin 4.7 4.1 The Cmpnents f Categrical Prpsitins There are fur types f categrical prpsitins. Prpsitin Letter

More information

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems

On Behavioral Model Equivalence Checking for Large Analog/Mixed Signal Systems On ehaviral Mdel quivalence Checking fr Large Analg/Mixed Signal Systems Amandeep Singh and Peng Li Department f lectrical and Cmputer ngineering Texas A&M University, Cllege Statin, TX 77843 {amandeep.singh,

More information

OP AMP CHARACTERISTICS

OP AMP CHARACTERISTICS O AM CHAACTESTCS Static p amp limitatins EFEENCE: Chapter 5 textbk (ESS) EOS CAUSED BY THE NUT BAS CUENT AND THE NUT OFFSET CUENT Op Amp t functin shuld have fr the input terminals a DC path thrugh which

More information

A Scalable Recurrent Neural Network Framework for Model-free

A Scalable Recurrent Neural Network Framework for Model-free A Scalable Recurrent Neural Netwrk Framewrk fr Mdel-free POMDPs April 3, 2007 Zhenzhen Liu, Itamar Elhanany Machine Intelligence Lab Department f Electrical and Cmputer Engineering The University f Tennessee

More information

1.1 The main transmission network of Eskom The classical two generator model 11

1.1 The main transmission network of Eskom The classical two generator model 11 LIST OF FIGURS Figure Page 1.1 The main transmissin netwrk f skm 4 2.1 The classical tw generatr mdel 11 2.2 Obtaining the lcatin f the electrical centre. The line cnnecting A with B represents the netwrk

More information

READING STATECHART DIAGRAMS

READING STATECHART DIAGRAMS READING STATECHART DIAGRAMS Figure 4.48 A Statechart diagram with events The diagram in Figure 4.48 shws all states that the bject plane can be in during the curse f its life. Furthermre, it shws the pssible

More information

AN INTERMITTENTLY USED SYSTEM WITH PREVENTIVE MAINTENANCE

AN INTERMITTENTLY USED SYSTEM WITH PREVENTIVE MAINTENANCE J. Operatins Research Sc. f Japan V!. 15, N. 2, June 1972. 1972 The Operatins Research Sciety f Japan AN INTERMITTENTLY USED SYSTEM WITH PREVENTIVE MAINTENANCE SHUNJI OSAKI University f Suthern Califrnia

More information

Honors Physics Final Review Summary

Honors Physics Final Review Summary Hnrs Physics Final Review Summary Wrk Dne By A Cnstant Frce: Wrk describes a frce s tendency t change the speed f an bject. Wrk is dne nly when an bject mves in respnse t a frce, and a cmpnent f the frce

More information

Source Coding Fundamentals

Source Coding Fundamentals Surce Cding Fundamentals Surce Cding Fundamentals Thmas Wiegand Digital Image Cmmunicatin 1 / 54 Surce Cding Fundamentals Outline Intrductin Lssless Cding Huffman Cding Elias and Arithmetic Cding Rate-Distrtin

More information

Chapter 3 Digital Transmission Fundamentals

Chapter 3 Digital Transmission Fundamentals Chapter 3 Digital Transmissin Fundamentals Errr Detectin and Crrectin CSE 3213, Winter 2010 Instructr: Frhar Frzan Mdul-2 Arithmetic Mdul 2 arithmetic is perfrmed digit y digit n inary numers. Each digit

More information

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis

Bicycle Generator Dump Load Control Circuit: An Op Amp Comparator with Hysteresis Bicycle Generatr Dump Lad Cntrl Circuit: An Op Amp Cmparatr with Hysteresis Sustainable Technlgy Educatin Prject University f Waterl http://www.step.uwaterl.ca December 1, 2009 1 Summary This dcument describes

More information

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment

Numerical Simulation of the Thermal Resposne Test Within the Comsol Multiphysics Environment Presented at the COMSOL Cnference 2008 Hannver University f Parma Department f Industrial Engineering Numerical Simulatin f the Thermal Respsne Test Within the Cmsl Multiphysics Envirnment Authr : C. Crradi,

More information

Resampling Methods. Cross-validation, Bootstrapping. Marek Petrik 2/21/2017

Resampling Methods. Cross-validation, Bootstrapping. Marek Petrik 2/21/2017 Resampling Methds Crss-validatin, Btstrapping Marek Petrik 2/21/2017 Sme f the figures in this presentatin are taken frm An Intrductin t Statistical Learning, with applicatins in R (Springer, 2013) with

More information

Guide to Using the Rubric to Score the Caspase-3 Pre-Build Model for Science Olympiad National Competition

Guide to Using the Rubric to Score the Caspase-3 Pre-Build Model for Science Olympiad National Competition Guide t Using the Rubric t Scre the Caspase-3 Pre-Build Mdel fr Science Olympiad 2011-2012 Natinal Cmpetitin These instructins are t help the event supervisr and scring judges use the rubric develped by

More information

37 Maxwell s Equations

37 Maxwell s Equations 37 Maxwell s quatins In this chapter, the plan is t summarize much f what we knw abut electricity and magnetism in a manner similar t the way in which James Clerk Maxwell summarized what was knwn abut

More information

ELE Final Exam - Dec. 2018

ELE Final Exam - Dec. 2018 ELE 509 Final Exam Dec 2018 1 Cnsider tw Gaussian randm sequences X[n] and Y[n] Assume that they are independent f each ther with means and autcvariances μ ' 3 μ * 4 C ' [m] 1 2 1 3 and C * [m] 3 1 10

More information

5.4 Measurement Sampling Rates for Daily Maximum and Minimum Temperatures

5.4 Measurement Sampling Rates for Daily Maximum and Minimum Temperatures 5.4 Measurement Sampling Rates fr Daily Maximum and Minimum Temperatures 1 1 2 X. Lin, K. G. Hubbard, and C. B. Baker University f Nebraska, Lincln, Nebraska 2 Natinal Climatic Data Center 1 1. INTRODUCTION

More information

Determining the Accuracy of Modal Parameter Estimation Methods

Determining the Accuracy of Modal Parameter Estimation Methods Determining the Accuracy f Mdal Parameter Estimatin Methds by Michael Lee Ph.D., P.E. & Mar Richardsn Ph.D. Structural Measurement Systems Milpitas, CA Abstract The mst cmmn type f mdal testing system

More information

Bayesian nonparametric modeling approaches for quantile regression

Bayesian nonparametric modeling approaches for quantile regression Bayesian nnparametric mdeling appraches fr quantile regressin Athanasis Kttas Department f Applied Mathematics and Statistics University f Califrnia, Santa Cruz Department f Statistics Athens University

More information

Schedule. Time Varying electromagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 only) 6.3 Maxwell s equations

Schedule. Time Varying electromagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 only) 6.3 Maxwell s equations chedule Time Varying electrmagnetic fields (1 Week) 6.1 Overview 6.2 Faraday s law (6.2.1 nly) 6.3 Maxwell s equatins Wave quatin (3 Week) 6.5 Time-Harmnic fields 7.1 Overview 7.2 Plane Waves in Lssless

More information

Functions. EXPLORE \g the Inverse of ao Exponential Function

Functions. EXPLORE \g the Inverse of ao Exponential Function ifeg Seepe3 Functins Essential questin: What are the characteristics f lgarithmic functins? Recall that if/(x) is a ne-t-ne functin, then the graphs f/(x) and its inverse,/'~\x}, are reflectins f each

More information

DEDICATED TO THE MEMORY OF R.J. WEINSHENK 1. INTRODUCTION

DEDICATED TO THE MEMORY OF R.J. WEINSHENK 1. INTRODUCTION CONVOLUTION TRIANGLES FOR GENERALIZED FIBONACCI NUMBERS VERNER E. HOGGATT, JR. San Jse State Cllege, San Jse, Califrnia DEDICATED TO THE MEMORY OF R.J. WEINSHENK. INTRODUCTION The sequence f integers Fj

More information

5 th grade Common Core Standards

5 th grade Common Core Standards 5 th grade Cmmn Cre Standards In Grade 5, instructinal time shuld fcus n three critical areas: (1) develping fluency with additin and subtractin f fractins, and develping understanding f the multiplicatin

More information

4th Indian Institute of Astrophysics - PennState Astrostatistics School July, 2013 Vainu Bappu Observatory, Kavalur. Correlation and Regression

4th Indian Institute of Astrophysics - PennState Astrostatistics School July, 2013 Vainu Bappu Observatory, Kavalur. Correlation and Regression 4th Indian Institute f Astrphysics - PennState Astrstatistics Schl July, 2013 Vainu Bappu Observatry, Kavalur Crrelatin and Regressin Rahul Ry Indian Statistical Institute, Delhi. Crrelatin Cnsider a tw

More information

CHARACTERIZATION OF A PERIODIC SURFACE PROFILE BY POLE-ZERO PARAMETERIZATION OF ELASTODYNAMIC PULSE REFLECTIONS * R.A. Roberts and J.D.

CHARACTERIZATION OF A PERIODIC SURFACE PROFILE BY POLE-ZERO PARAMETERIZATION OF ELASTODYNAMIC PULSE REFLECTIONS * R.A. Roberts and J.D. CHARACTERZATON OF A PERODC SURFACE PROFLE BY POLE-ZERO PARAMETERZATON OF ELASTODYNAMC PULSE REFLECTONS * R.A. Rberts and J.D. Achenbach The Technlgical nstitute Nrthwestern University Evanstn, L. 60201

More information

Section 6-2: Simplex Method: Maximization with Problem Constraints of the Form ~

Section 6-2: Simplex Method: Maximization with Problem Constraints of the Form ~ Sectin 6-2: Simplex Methd: Maximizatin with Prblem Cnstraints f the Frm ~ Nte: This methd was develped by Gerge B. Dantzig in 1947 while n assignment t the U.S. Department f the Air Frce. Definitin: Standard

More information

Physics 2B Chapter 23 Notes - Faraday s Law & Inductors Spring 2018

Physics 2B Chapter 23 Notes - Faraday s Law & Inductors Spring 2018 Michael Faraday lived in the Lndn area frm 1791 t 1867. He was 29 years ld when Hand Oersted, in 1820, accidentally discvered that electric current creates magnetic field. Thrugh empirical bservatin and

More information

Least Squares Optimal Filtering with Multirate Observations

Least Squares Optimal Filtering with Multirate Observations Prc. 36th Asilmar Cnf. n Signals, Systems, and Cmputers, Pacific Grve, CA, Nvember 2002 Least Squares Optimal Filtering with Multirate Observatins Charles W. herrien and Anthny H. Hawes Department f Electrical

More information

Medium Scale Integrated (MSI) devices [Sections 2.9 and 2.10]

Medium Scale Integrated (MSI) devices [Sections 2.9 and 2.10] EECS 270, Winter 2017, Lecture 3 Page 1 f 6 Medium Scale Integrated (MSI) devices [Sectins 2.9 and 2.10] As we ve seen, it s smetimes nt reasnable t d all the design wrk at the gate-level smetimes we just

More information