Evolution of micro-structures on silicon substrates by surface. Osaka University

Size: px
Start display at page:

Download "Evolution of micro-structures on silicon substrates by surface. Osaka University"

Transcription

1 Evolution of micro-structures on silicon substrates by surface diffusion Koichi Sudoh The Institute of Scientific ifi and Industrial Research Osaka University

2 Microstructure Fabrication applying Spontaneous Shape Transformation by Annealing Collaboration with: R. Hiruta, H. Kuribayashi, R. Shimizu Fuji Electric Group H. Iwasaki Osaka University Silicon-on-nothing Trench MOSFET Useful in fabrication of 3D structures on Si surfaces Trench corner rounding Formation of empty space in Si

3 Flattening of Surfaces (110) facet formation on sidewall of fin structures t T. Tezuka et al., Appl. Phys. Lett. 92, (2008).

4 Shape Modification toroidal structure M. M. Lee and M. C. Wu: J. Microelectromech. Sys. 15, 338 (2006).

5 Outline I. Shape transformation of 1D gratings Trench corner rounding during annealing Mass transport mechanism for shape transformation Analysis based on Mullins equation II. Shpe transformation of high spect ratio hole patterns Void formation via shape transformation Shape change of faceted voids Modeling of the evolution of faceted structures

6 Fabrication of 1D gratings g on Si(001) 1 m <110> 6inchφ N-Si(100) 2~3Ωcm 3 m - OF (1 1 0) Fabrication of a 1D trench array Fabrication of a 1D trench array by reactive ion etching on Si(001)

7 Trench corner rounding by annealing for 3 min 1 min 3 min 10 min at 1000 C

8 Mechanism of Morphological op oogcaevolution outo Surface Diffusion (SD) Evaporation Condensation(EC) solid Gibbs-Thomson Effect Curvature Dependence of fchemical lpotential ti (K) = K Curvature Dependence of Vapor Pressure: P eq (K) ln P eq ( K ) P 0 K kt

9 Mullins Equation for Evolution o of Isotropic op csurfaces W. W. Mullins: J. Appl. Phys. vapor pressure: p 0 1) Evaporation Condensation Case v n 01 exp K kt 1 A K A A K v n A 1 exp ) Surface Diffusion Case p(k) V n Curvature v n D s kt 2 X s 2 s K 2 2 K B 2 s s V n

10 Predictions from Scaling Argument Time scaling of the trench corner radius: R t 1) Evaporation Condensation Case 1 v n K R dr dt 1 R 2) Surface Diffusion Case v n s 2 K 2 1/ 2 R t dr dt R 3 1/ 4 R t

11 Corner Rounding of 1D Trenches es 1 min 3 min 10 min

12 Annealing time dependence of curvatures of trench corners R t 1/ 4 Surface Diffusion

13 Shape Transformation ato by Mullins Equation for EC and dsd t = 0 t=10 t = 40 t = 0 t = t = 0.01 Evaporation Condensation Surface Diffusion

14 Difference between EC and SD evaporation 1/ R t 2 Evaporation and Condensation 1/ R t 4 Surface diffusion

15 Simulation using Mullins Equation Bt = m Simulation SEM Images 4%H 2 /Ar 760Torr 1150 ºC 5min

16 Square Array of Cylindrical Holes D P top view Top View 3 m cross section D = 0.75 m, P = 1.0 m D = 0.75 m, P = 1.8 m

17 VidF Void Formation by Shape Transformation of Hole Array Cross section Top view

18 Silicon on Nothing (SON) Structure 33 m 0.8 m SON structure

19 Facets on the Void Surface (311) (101) (113) (113) (011) (101) (111) (011) (111) (311) (311) (131) (131) (311) (131) (131) (100) (110) (010) (100) (110) (010) (311) (311) (131) (131) (311) (311) (131) (131) (101) (111) (113) (011) (111) (101) (011) (113)

20 Effects of the adjacent voids P = 1.8 m P = 1.0 m Shape change of individual voids occur independently without affected by the neighboring voids.

21 Evolution of void shape during annealing at 1100 ºC in 60 Torr H 2 gas 5 min 10 min 20 min 40 min 0.70 m m m m 3 The volume of a void is preserved during shape change

22 Mean chemical potential of a facet Chemical potential of a faceted crystal (J. E. Taylor, Acta Metall. Mater. 40 (1992) 1475.) i 0 K i i Weighted mean curvature K i 1 S i ji f ij l ij v i S i ji J ji 2 / 1 cij 2 f c c ij ij j i ij n n j i

23 Numerical Simulation of Void Shape Change Area of i-th facet: S i i l ij j v Normal velocity of the i-th facet v i S i ji Atomic current from j-th to i-th facet l ij J ij J ji Dc kt 0 j x ij i M. Kitayama, J. Am. Ceram. Soc. 83 (2000) x ij S i S j

24 Simulation Results

25 Annealing time dependence of the height and width of voids 1.7 H W Size (m) W H Annealing time (min)

26 Summary Shape transformation of 1D gratings Dominant mass transport mechanism for the shape transformation is surface diffusion. The evolution of trench profiles can be predicted by numerical simulation based on the Mullins equation. Shape transformation of hole arrays Empty spaces can be created in the bulk Si by annealing of hole arrays. Modeling for the evolution of polyhedral crystals reproduces the evolution of a faceted void in Si substrate.

Diffusion. Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion

Diffusion. Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion Diffusion Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion Fick s First Law Γ ΔN AΔt Γ = flux ΔN = number of particles crossing

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Lecture 6 Surface Diffusion Driven by Surface Energy

Lecture 6 Surface Diffusion Driven by Surface Energy Lecture 6 Surface Diffusion Driven by Surface Energy Examples Flattening a surface. Spherodizing. Rayleigh instability. Grain boundary grooving. Sintering Self-assembled quantum dots Atomic Flux and Surface

More information

Temperature dependence of spin diffusion length in silicon by Hanle-type spin. precession

Temperature dependence of spin diffusion length in silicon by Hanle-type spin. precession Temperature dependence of spin diffusion length in silicon by Hanle-type spin precession T. Sasaki 1,a), T. Oikawa 1, T. Suzuki 2, M. Shiraishi 3, Y. Suzuki 3, and K. Noguchi 1 SQ Research Center, TDK

More information

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Section Micro and Nano Technologies RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Assoc. Prof. Ersin Kayahan 1,2,3 1 Kocaeli University, Electro-optic and Sys. Eng. Umuttepe, 41380, Kocaeli-Turkey

More information

Solid-State Dewetting: From Flat to Curved Substrates

Solid-State Dewetting: From Flat to Curved Substrates Solid-State Dewetting: From Flat to Curved Substrates Yan Wang with Prof. Weizhu Bao, Prof. David J. Srolovitz, Prof. Wei Jiang and Dr. Quan Zhao IMS, 2 May, 2018 Wang Yan (CSRC) Solid-State Dewetting

More information

Nanowires and nanorods

Nanowires and nanorods Nanowires and nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. These structures have a nanometer size in one of the dimensions,

More information

The first three categories are considered a bottom-up approach while lithography is a topdown

The first three categories are considered a bottom-up approach while lithography is a topdown Nanowires and Nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. The common characteristic of these structures is that all they

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Hydrogenated Graphene

Hydrogenated Graphene Hydrogenated Graphene Stefan Heun NEST, Istituto Nanoscienze-CNR and Scuola Normale Superiore Pisa, Italy Outline Epitaxial Graphene Hydrogen Chemisorbed on Graphene Hydrogen-Intercalated Graphene Outline

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION I. Experimental Thermal Conductivity Data Extraction Mechanically exfoliated graphene flakes come in different shape and sizes. In order to measure thermal conductivity of the

More information

Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation. Abstract

Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation. Abstract Change of Majority-Carrier Concentration in p-type Silicon by 10 MeV Proton Irradiation H. Iwata, S. Kagamihara, H. Matsuura, S. Kawakita 1), T. Oshima ), T. Kamiya ) Osaka Electro-Communication University,

More information

Length Scales in Step-Bunch Self- Organization during Annealing of Patterned Vicinal Si(111) Surfaces

Length Scales in Step-Bunch Self- Organization during Annealing of Patterned Vicinal Si(111) Surfaces Length Scales in Step-Bunch Self- Organization during Annealing of Patterned Vicinal Si() Surfaces T. Kwon, H.-C. Kan, and R. J. Phaneuf Nonequilibrium Interface and Surface Dynamics: Theory, Experiment

More information

b imaging by a double tip potential

b imaging by a double tip potential Supplementary Figure Measurement of the sheet conductance. Resistance as a function of probe spacing including D and 3D fits. The distance is plotted on a logarithmic scale. The inset shows corresponding

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Posibilitati de control al structurii si morfologiei nanoparticulelor de BaTiO 3

Posibilitati de control al structurii si morfologiei nanoparticulelor de BaTiO 3 Posibilitati de control al structurii si morfologiei nanoparticulelor de BaTiO 3 Strategies for the structure and morphology control of BaTiO 3 nanoparticles Florentina Maxim Laboratorul de Termodinamica

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Graphene: Plane and Simple Electrical Metrology?

Graphene: Plane and Simple Electrical Metrology? Graphene: Plane and Simple Electrical Metrology? R. E. Elmquist, F. L. Hernandez-Marquez, M. Real, T. Shen, D. B. Newell, C. J. Jacob, and G. R. Jones, Jr. National Institute of Standards and Technology,

More information

ISSUES TO ADDRESS...

ISSUES TO ADDRESS... Chapter 12: Electrical Properties School of Mechanical Engineering Choi, Hae-Jin Materials Science - Prof. Choi, Hae-Jin Chapter 12-1 ISSUES TO ADDRESS... How are electrical conductance and resistance

More information

Analysis of the manufacturing variation in a coupled micro resonators. array based on its designed values and measured eigenfrequencies

Analysis of the manufacturing variation in a coupled micro resonators. array based on its designed values and measured eigenfrequencies Analysis of the manufacturing variation in a coupled micro resonators array based on its designed values and measured eigenfrequencies Xueyong Wei, Nor Hayati Saad and Mike CL Ward School of Mechanical

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

Supporting Information: On Localized Vapor Pressure Gradients Governing Condensation and Frost Phenomena

Supporting Information: On Localized Vapor Pressure Gradients Governing Condensation and Frost Phenomena Supporting Information: On Localized Vapor Pressure Gradients Governing Condensation and Frost Phenomena Saurabh Nath and Jonathan B. Boreyko Department of Biomedical Engineering and Mechanics, Virginia

More information

Quasi-periodic nanostructures grown by oblique angle deposition

Quasi-periodic nanostructures grown by oblique angle deposition JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 12 15 DECEMBER 2003 Quasi-periodic nanostructures grown by oblique angle deposition T. Karabacak, a) G.-C. Wang, and T.-M. Lu Department of Physics, Applied

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Materials Chemistry A

Materials Chemistry A Journal of Materials Chemistry A Accepted Manuscript This is an Accepted Manuscript, which has been through the Royal Society of Chemistry peer review process and has been accepted for publication. Accepted

More information

Supporting Information. Temperature dependence on charge transport behavior of threedimensional

Supporting Information. Temperature dependence on charge transport behavior of threedimensional Supporting Information Temperature dependence on charge transport behavior of threedimensional superlattice crystals A. Sreekumaran Nair and K. Kimura* University of Hyogo, Graduate School of Material

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Au-Ti THIN FILMS DEPOSITED ON GaAs

Au-Ti THIN FILMS DEPOSITED ON GaAs Au-Ti THIN FILMS DEPOSITED ON GaAs R. V. GHITA *, D. PANTELICA**, M. F. LAZARESCU *, A. S. MANEA *, C. LOGOFATU *, C. NEGRILA *, V. CIUPINA *** * National Institute of Material Physics, P.O. Box MG7, Mãgurele,

More information

Void Shape Evolution of Silicon Simulation: Non-linear Three-dimensional Curvature Calculation by First Order Analysis

Void Shape Evolution of Silicon Simulation: Non-linear Three-dimensional Curvature Calculation by First Order Analysis Universal Journal of omputational Analysis (014), 7-45 www.papersciences.com Void Shape Evolution of Silicon Simulation: Non-linear Three-dimensional urvature alculation by First Order Analysis. Grau Turuelo,

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Dependence of Hole Concentration in p-type Silicon Solar Cell Wafers on Temperature and on Position within the Polycrystalline Ingot

Dependence of Hole Concentration in p-type Silicon Solar Cell Wafers on Temperature and on Position within the Polycrystalline Ingot Dependence of Hole Concentration in p-type Silicon Solar Cell Wafers on Temperature and on Position within the Polycrystalline Ingot H. Matsuura, T. Ishida, K. ishikawa. Fukunaga and T. Kuroda Department

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Self-assembled nanostructures for antireflection optical coatings

Self-assembled nanostructures for antireflection optical coatings Self-assembled nanostructures for antireflection optical coatings Yang Zhao 1, Guangzhao Mao 2, and Jinsong Wang 1 1. Deaprtment of Electrical and Computer Engineering 2. Departmentof Chemical Engineering

More information

Strong Facet-Induced and Light-Controlled Room-Temperature. Ferromagnetism in Semiconducting β-fesi 2 Nanocubes

Strong Facet-Induced and Light-Controlled Room-Temperature. Ferromagnetism in Semiconducting β-fesi 2 Nanocubes Supporting Information for Manuscript Strong Facet-Induced and Light-Controlled Room-Temperature Ferromagnetism in Semiconducting β-fesi 2 Nanocubes Zhiqiang He, Shijie Xiong, Shuyi Wu, Xiaobin Zhu, Ming

More information

Uniform-acceptance force-biased Monte Carlo: A cheap way to boost MD

Uniform-acceptance force-biased Monte Carlo: A cheap way to boost MD Dresden Talk, March 2012 Uniform-acceptance force-biased Monte Carlo: A cheap way to boost MD Barend Thijsse Department of Materials Science and Engineering Delft University of Technology, The Netherlands

More information

Solutions for Assignment-6

Solutions for Assignment-6 Solutions for Assignment-6 Q1. What is the aim of thin film deposition? [1] (a) To maintain surface uniformity (b) To reduce the amount (or mass) of light absorbing materials (c) To decrease the weight

More information

Optical and Structural Properties of Bilayer Circular Filter Prepared by Glancing Angle Deposition

Optical and Structural Properties of Bilayer Circular Filter Prepared by Glancing Angle Deposition Journal of the Optical Society of Korea Vol. 13, No. 2, June 29, pp. 218-222 DOI:.387/JOSK.29.13.2.218 Optical and Structural Properties of Bilayer Circular Filter Prepared by Glancing Angle Deposition

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

Hysteresis-free low-temperature-processed planar perovskite solar cells with 19.1% efficiency

Hysteresis-free low-temperature-processed planar perovskite solar cells with 19.1% efficiency Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2016 Supplementary Information Hysteresis-free low-temperature-processed planar

More information

MIT Amorphous Materials

MIT Amorphous Materials MIT 3.071 Amorphous Materials 10: Electrical and Transport Properties Juejun (JJ) Hu 1 After-class reading list Fundamentals of Inorganic Glasses Ch. 14, Ch. 16 Introduction to Glass Science and Technology

More information

Fundamentals of Nanoelectronics: Basic Concepts

Fundamentals of Nanoelectronics: Basic Concepts Fundamentals of Nanoelectronics: Basic Concepts Sławomir Prucnal FWIM Page 1 Introduction Outline Electronics in nanoscale Transport Ohms law Optoelectronic properties of semiconductors Optics in nanoscale

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Room-temperature tunable microwave properties of strained SrTiO 3 films

Room-temperature tunable microwave properties of strained SrTiO 3 films JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 11 1 DECEMBER 2004 Room-temperature tunable microwave properties of ed SrTiO 3 films Wontae Chang, a) Steven W. Kirchoefer, Jeffrey M. Pond, Jeffrey A. Bellotti,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin Oscillations

Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin Oscillations 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin

More information

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM.

MOS Capacitor MOSFET Devices. MOSFET s. INEL Solid State Electronics. Manuel Toledo Quiñones. ECE Dept. UPRM. INEL 6055 - Solid State Electronics ECE Dept. UPRM 20th March 2006 Definitions MOS Capacitor Isolated Metal, SiO 2, Si Threshold Voltage qφ m metal d vacuum level SiO qχ 2 E g /2 qφ F E C E i E F E v qφ

More information

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

Scaling Issues in Planar FET: Dual Gate FET and FinFETs Scaling Issues in Planar FET: Dual Gate FET and FinFETs Lecture 12 Dr. Amr Bayoumi Fall 2014 Advanced Devices (EC760) Arab Academy for Science and Technology - Cairo 1 Outline Scaling Issues for Planar

More information

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter S. Sadat 1, E. Meyhofer 1 and P. Reddy 1, 1 Department of Mechanical Engineering, University of Michigan, Ann Arbor, 48109 Department

More information

The Role of Intrinsic Defects for the Diffusion of Ag and Cu in CdTe

The Role of Intrinsic Defects for the Diffusion of Ag and Cu in CdTe Defect and Diffusion Forum Vols. 237-240 (2005) pp. 491-498 online at http://www.scientific.net 2005 Trans Tech Publications, Switzerland The Role of Intrinsic Defects for the Diffusion of Ag and Cu in

More information

EE 434 Lecture 13. Basic Semiconductor Processes Devices in Semiconductor Processes

EE 434 Lecture 13. Basic Semiconductor Processes Devices in Semiconductor Processes EE 434 Lecture 3 Basic Semiconductor Processes Devices in Semiconductor Processes Quiz 9 The top view of a device fabricated in a bulk CMOS process is shown in the figure below a) Identify the device b)

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Monte Carlo Simulation of Ferroelectric Domain Structure: Electrostatic and Elastic Strain Energy Contributions

Monte Carlo Simulation of Ferroelectric Domain Structure: Electrostatic and Elastic Strain Energy Contributions Monte Carlo Simulation of Ferroelectric Domain Structure: Electrostatic and Elastic Strain Energy Contributions B.G. Potter, Jr., B.A. Tuttle, and V. Tikare Sandia National Laboratories Albuquerque, NM

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Nicolas Martinez-Prieto, Jian Cao, and Kornel Ehmann Northwestern University SmartManufacturingSeries.com

More information

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey Section 7: Diffusion Jaeger Chapter 4 Surface Diffusion: Dopant Sources (a) Gas Source: AsH 3, PH 3, B 2 H 6 (b) Solid Source BN Si BN Si (c) Spin-on-glass SiO 2 +dopant oxide (d) Liquid Source. Fick s

More information

Intrinsic Semiconductors

Intrinsic Semiconductors Technische Universität Graz Institute of Solid State Physics Intrinsic Semiconductors ermi function f(e) is the probability that a state at energy E is occupied. f( E) 1 E E 1 exp kt B ermi energy The

More information

Tunable Nanoparticle Arrays at Charged Interfaces

Tunable Nanoparticle Arrays at Charged Interfaces Tunable Nanoparticle Arrays at Charged Interfaces Supporting Material Sunita Srivastava 1, Dmytro Nykypanchuk 1, Masafumi Fukuto 2 and Oleg Gang 1* 1 Center for Functional Nanomaterials, Brookhaven National

More information

Surface physics, Bravais lattice

Surface physics, Bravais lattice Surface physics, Bravais lattice 1. Structure of the solid surface characterized by the (Bravais) lattice + space + point group lattice describes also the symmetry of the solid material vector directions

More information

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings IOP PUBLISHING Nanotechnology 20 (2009) 285310 (5pp) NANOTECHNOLOGY doi:10.1088/0957-4484/20/28/285310 The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC WAVEGUIDES Chin-ping Yu (1) and Hung-chun Chang (2) (1) Graduate Institute of Electro-Optical Engineering, National Taiwan University, Taipei,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Planar Organic Photovoltaic Device. Saiful I. Khondaker

Planar Organic Photovoltaic Device. Saiful I. Khondaker Planar Organic Photovoltaic Device Saiful I. Khondaker Nanoscience Technology Center and Department of Physics University of Central Florida http://www.physics.ucf.edu/~khondaker W Metal 1 L ch Metal 2

More information

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant Supporting Information for A differential Hall effect measurement method with sub-nanometre resolution for active dopant concentration profiling in ultrathin doped Si 1 x Ge x and Si layers Richard Daubriac*

More information

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts

Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Revealing High Fidelity of Nanomolding Process by Extracting the Information from AFM Image with Systematic Artifacts Sajal Biring* Department of Electronics Engineering and Organic Electronics Research

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

MEGAWATT SOLID-STATE ELECTRONICS

MEGAWATT SOLID-STATE ELECTRONICS MATERIALS, PROCESS AND DEVICE DEVELOPMENT FOR GaN (and SiC) POWER DEVICES University of Florida: SRI: MCNC: Device Design/Simulation (In Collaboration with Sandia) Process Development Device Fabrication

More information

Temperature Dependence of the Diffusion. Coefficient of PCBM in Poly(3-hexylthiophene)

Temperature Dependence of the Diffusion. Coefficient of PCBM in Poly(3-hexylthiophene) Supporting Information Temperature Dependence of the Diffusion Coefficient of PCBM in Poly(3-hexylthiophene) Neil D. Treat,, Thomas E. Mates,, Craig J. Hawker,,, Edward J. Kramer,,, Michael L. Chabinyc,

More information

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR Journal of Physical Science, Vol. 17(2), 161 167, 2006 161 A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR A.Y. Hudeish 1,2* and A. Abdul Aziz 1 1 School of Physics, Universiti Sains Malaysia, 11800

More information

Mechanical Characterization of High Aspect Ratio Silicon Nanolines

Mechanical Characterization of High Aspect Ratio Silicon Nanolines Mater. Res. Soc. Symp. Proc. Vol. 1086 2008 Materials Research Society 1086-U05-07 Mechanical Characterization of High Aspect Ratio Silicon Nanolines Bin Li 1, Huai Huang 1, Qiu Zhao 1, Zhiquan Luo 1,

More information

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors

Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Highly Efficient and Anomalous Charge Transfer in van der Waals Trilayer Semiconductors Frank Ceballos 1, Ming-Gang Ju 2 Samuel D. Lane 1, Xiao Cheng Zeng 2 & Hui Zhao 1 1 Department of Physics and Astronomy,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Cellular solid structures with unbounded thermal expansion. Roderic Lakes. Journal of Materials Science Letters, 15, (1996).

Cellular solid structures with unbounded thermal expansion. Roderic Lakes. Journal of Materials Science Letters, 15, (1996). 1 Cellular solid structures with unbounded thermal expansion Roderic Lakes Journal of Materials Science Letters, 15, 475-477 (1996). Abstract Material microstructures are presented which can exhibit coefficients

More information

One and Two-Dimensional Pattern Formation on Ion Sputtered Silicon

One and Two-Dimensional Pattern Formation on Ion Sputtered Silicon Mat. Res. Soc. Symp. Proc. Vol. 792 2004 Materials Research Society R7.8.1 One and Two-Dimensional Pattern Formation on Ion Sputtered Silicon Ari-David Brown 1,2, H. Bola George 3, Michael J. Aziz 3, and

More information

Mie resonators on silicon Fabrication and optical properties

Mie resonators on silicon Fabrication and optical properties Mie resonators on silicon Fabrication and optical properties Marco Abbarchi 1, Meher Naffouti 1,4, Thomas David 1, Benjamin Vial 2, Abdelmalek Benkouider 1, Laurent Lermusiaux 3,Luc Favre 1, Antoine Ronda

More information

Proton acceleration in thin foils with micro-structured surface

Proton acceleration in thin foils with micro-structured surface Proton acceleration in thin foils with micro-structured surface J. Pšikal*, O. Klimo*, J. Limpouch*, J. Proška, F. Novotný, J. Vyskočil Czech Technical University in Prague, Faculty of Nuclear Sciences

More information

5. Building Blocks I: Ferroelectric inorganic micro- and nano(shell) tubes

5. Building Blocks I: Ferroelectric inorganic micro- and nano(shell) tubes 5. Building Blocks I: Ferroelectric inorganic micro- and nano(shell) tubes 5.1 New candidates for nanoelectronics: ferroelectric nanotubes In this chapter, one of the core elements for a complex building

More information

ECE 250 Electronic Devices 1. Electronic Device Modeling

ECE 250 Electronic Devices 1. Electronic Device Modeling ECE 250 Electronic Devices 1 ECE 250 Electronic Device Modeling ECE 250 Electronic Devices 2 Introduction to Semiconductor Physics You should really take a semiconductor device physics course. We can only

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Lateral heterojunctions within monolayer MoSe 2 -WSe 2 semiconductors Chunming Huang 1,#,*, Sanfeng Wu 1,#,*, Ana M. Sanchez 2,#,*, Jonathan J. P. Peters 2, Richard Beanland 2, Jason S. Ross 3, Pasqual

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

Drift-Diffusion of Highly Mobile Dopants in CdTe

Drift-Diffusion of Highly Mobile Dopants in CdTe The Open-Access Journal for the Basic Principles of Diffusion Theory, Experiment and Application www..org, ISSN 1862-4138; 25-28 Drift-Diffusion of Highly Mobile Dopants in Te H. Wolf,*,1 F. Wagner, 1

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Formation of Nanostructured Layers for Passivation of High Power Silicon Devices

Formation of Nanostructured Layers for Passivation of High Power Silicon Devices Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Formation of Nanostructured Layers for Passivation of High Power Silicon Devices

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information