Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs

Size: px
Start display at page:

Download "Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs"

Transcription

1 Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs Arthur Nieuwoudt ELEC 527 Presentation Rice University 3/13/2007 Copyright 2007 by Arthur Nieuwoudt. Interconnect and Technology Scaling On-chip communication currently a bottleneck Copper interconnect currently plagued by delay, noise, and reliability problems Electromigration also decreases reliability Problem exasperated by interconnect scaling Smaller wire dimensions Process variations becoming an important reliability concern R. Kumar, Intel Tech. Jour., 2001.

2 Copper Wire Resistivity Increases due to Technology Scaling Resistivity of copper interconnect increases as cross-sectional dimensions decrease Results from surface roughness and grain boundary scattering Dimensions on the order of electronic mean free path Potential solutions in copper technology limited Radical alternative technologies are required Resistivity ( µω cm) Local Interconnect Global Interconnect Bulk Copper Wire Width (nm) International Technology Roadmap for Semiconductors (ITRS), Carbon Nanotubes for On-Chip Interconnect Carbon nanotubes have been proposed as a possible replacement for on-chip interconnect Large current density Relatively low resistivity Small dimensionality Fundamental research questions/challenges: What is the predicted performance and reliability of ICs that utilize nanotubebased interconnect? How can nanotube-based interconnect be realized in a practical manner in the IC manufacturing process? Z. Chen et al., Nanotechnology, 2006.

3 Agenda Properties of carbon nanotubes Predicting the performance of carbon nanotube interconnect Circuit models for carbon nanotube interconnect Investigating the performance and reliability of nanotube-based interconnect Fabrication of nanotube-based interconnect Conclusions Image from A. P. Graham et al., Appl. Phys. A, Types of Carbon Nanotubes Single-walled carbon nanotubes (SWCNTs) Rolled single sheet of graphene Typical diameter: 0.4 nm to 4.0 nm Multi-walled carbon nanotubes (MWCNTs) Nested SWCNTs Distance between layers: 0.34 nm Typical diameter: up to 100 nm Nanotubes that are 4 mm long have been realized 1 Graphene SWCNT MWCNT Vertically Integrated MWCNT S. Li et al., Nano Lett., Images from A. P. Graham et al., Appl. Phys. A, 2005.

4 Single-Walled Carbon Nanotubes: Electrical Properties SWCNTs exhibit either metallic or semiconducting behavior z Depends on chirality z 1/3 of possible nanotube chiralities are metallic Strong carbon-carbon bonds allow large current densities z Nanotube: ~109 A/cm2 z Copper: ~106 A/cm2 z Nanotubes resistant to electromigration Low resistivity (~1 µω-cm) J. Wildoeer et al., Nature, B. Q. Wei et al., App. Phys. Lett., Image courtesy M. Strock Carbon Nanotube Bundles Bundles of SWCNTs have been proposed for interconnect applications Why bundles? z Large current carrying capability of parallel conduction channels z High contact resistance of single SWCNT (~6.5 kω) Bundles of SWCNTs needed to lower overall resistance A. Thess et al., Science, A. Nieuwoudt and Y. Massoud, IEEE T-ED,

5 Interconnect Application Domains Desired nanotube-based interconnect properties depend on application domain Global interconnect (~100s µm) Intermediate interconnect (~10s µm) Local interconnect (<10 µm) Inter-layer vias (~1 µm) Need to design nanotubebased interconnect solutions for each domain Resistive properties Current density Fabrication M. Bohr, Intel Developers Forum, Electrical Modeling of Carbon Nanotube Interconnect Like standard copper interconnect, DC and AC performance of SWCNTs can be abstracted using RLC models Single nanotube RLC model developed by Burke in ,2 Models SWCNTs as a 1-D quantum wire Accounted for quantum capacitance and kinetic inductance Assumes magnetic inductive and electrostatic capacitive coupling between the nanotube and a ground plane Models intrinsic resistance of the nanotube (~6.5 kω) First RLC circuit model for microwave behavior of individual SWCNTs 1 P.J. Burke, IEEE Trans. Nano., P.J. Burke, IEEE Trans. Nano., 2003.

6 Circuit Model for SWCNT Bundle Interconnect Equivalent circuit model for SWCNT bundles Based on model for a single SWCNT from Burke Captures experimentally verified resistance as well as theoretically predicted capacitive and inductive effects Formulations for each model element Diameter-dependent ohmic (R o ) and contact resistances (R i + R c ) Scalable modeling of magnetic (L m and M m ) and kinetic inductance (L k ) Electrostatic (C c and C e ) and quantum capacitance (C q ) Y. Massoud and A. Nieuwoudt, ACM Journal on Emerging Technologies in Computing Systems (JETC), Resistance Modeling Three types of resistance for SWCNTs 1,2 Ohmic (R o ): per unit length beyond mean free path (~4 kω/µm) Contact (R c ): lumped resistance due to metal contacts (0 100s kω) Intrinsic (R i ): lumped resistance due to ballistic conduction (~6.5 kω) High bias voltage can significantly increase resistance due to saturation current (I o ) 2,3 Total bundle resistance 2 nb l b = Bundle length λ ap = Mean-free path of acoustic-phonon scattering V b = Bias voltage I o = Saturation current = number of SWCNTs in bundle 1 J.-Y. Park et al., Nano Lett., Mar A. Nieuwoudt and Y. Massoud, IEEE T-ED, Z. Yao et al., Phys. Rev. Lett., Mar

7 Diameter-Dependent Contact Resistance Contact resistance of SWCNTs depends on nanotube diameter Demonstrated experimentally by W. Kim et al., App. Phys. Lett. 87, Impacts both resistance and maximum current for nanotubes with diameters smaller than 2 nm Increase over nominal contact resistance (R cnom ) can be modeled based on the measured data in [Kim 05] (D rc ): d t = SWCNT diameter > Reduces saturation current (I o ) from 25 to 5 µa when nanotube diameter is 1 nm Can have significant impact of SWCNT bundle resistance W. Kim et al., App. Phys. Lett., A. Nieuwoudt and Y. Massoud, IEEE T-ED, Diameter-Dependent Ohmic Resistance Mean free path of acoustic-phonon scattering (λ ap ) depends on diameter based on experimental and theoretical results 1,2,3 α = scattering rate; v F = Fermi velocity; T = temperature Therefore, the effective diameter-dependent SWCNT ohmic resistivity is 4 λ ap vfdt αt C λ is based on experimental data 1 X. Zhou et al., Phys. Rev. Lett., C. T. White and T. N. Todorov, Nature, H. Suzuura and T. Ando, Phys. Rev. B, A. Nieuwoudt and Y. Massoud, IEEE T-ED, 2006.

8 Effective Ohmic Resistivity Resistivity ( µω cm) Dense Bundle - Diameter Dependent Resistance Sparse Bundle - Diameter Dependent Resistance 22 nm Width 45 nm Width Bulk Copper Nanotube Diameter (nm) SWCNT diameter has large impact on bundle resistance As diameter (D) increases, the number of nanotubes decreases for a given bundle size Resistance increases (~D^2) As D increases, individual nanotube resistance decreases Resistance decreases (~1/D) Therefore, effective resistivity linearly increases Ohmic resistance advantage over copper greatly depends on SWCNT diameter A. Nieuwoudt and Y. Massoud, IEEE T-ED, A. Nieuwoudt and Y. Massoud, IEEE Conf. Nano., Overall Resistance Comparison to Copper: Dense Bundles d t = 1 nm Global interconnect: ohmic res. dominates Local interconnect: contact res. dominates Diameter has a large impact on percentage improvement over copper d t = 1 nm: 61% d t = 2 nm: 22 % A. Nieuwoudt and Y. Massoud, IEEE T-ED, 2006.

9 Capacitance for SWCNT Bundles Three types of capacitance for SWCNTs Electrostatic capacitance between SWCNT and ground (C e ) Electrostatic capacitance between adjacent SWCNTs (C c ) Quantum capacitance (C q ) 1 Electrostatic capacitance has significantly more impact than the quantum capacitance for SWCNT bundles 2 Within SWCNT bundles, C c is small since the nanotubes in the bundle have the same bias voltage Model capacitance between large SWCNT bundles as equivalent conductors 3 Error less than 5 percent when compared to modeling the nanotubes discretely using FastCap 4 and Comsol 1 P.J. Burke, IEEE Trans. Nano., S. Salahuddin et al., IEEE T-ED, Y. Massoud and A. Nieuwoudt, ACM JETC, K. Nabors and J. White, IEEE Trans. CAD, Electrostatic Capacitance Results SWCNT Bundles Parallel Conductors C = 84.3 af/µm C = 85.1 af/µm

10 Inductance for SWCNT Bundles Inductance in global interconnect can impact delay, cross-talk, voltage overshoot, and power consumption Models for SWCNT bundles contain both a magnetic and kinetic inductance 1 Based on model for a single SWCNT from Burke 2 Magnetic inductance: voltage induced by time varying magnetic fields produced by loops of current Kinetic inductance: dependent on the net sum of the kinetic energy of left and right moving electrons in a 1-D quantum wire 1 A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., P.J. Burke, IEEE Trans. Nano., Kinetic Inductance For a single nanotube (L k ) and a SWCNT bundle (L kb ), the worstcase predicted kinetic inductance values are n b = number of SWCNTs in bundle Kinetic inductance three orders of magnitude larger than the magnetic inductance for a single SWCNT Conflicting experimental results for kinetic inductance Kinetic inductance observed experimentally in [1] for MWCNTs Kinetic inductance not observed experimentally for SWCNTs for frequencies up to 10 GHz in [2] Kinetic inductance will be difficult to observe experimentally due to the small ωl/r Comprehensive analysis of SWCNT bundles for VLSI interconnect applications should include a range of per unit length values of kinetic inductance 1 R. Tarkiainen et al., Phys. Rev. B, Z. Yu and P. J. Burke, Nano. Lett., July 2005.

11 Inductance Modeling: Previous Work Magnetic inductance equal to kinetic inductance for bundle geometries in interconnect applications Magnetic inductance depends on current return paths May not be known a priori Increases model complexity Need modeling solutions for magnetic inductance for SWCNT bundles Number of nanotubes where magnetic and kinetic inductances are equal A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., Magnetic Inductance Modeling Model SWCNT bundle inductance using the Partial Element Equivalent Circuit (PEEC) method Model each SWCNT as a current carrying filament Calculate self-inductance of nanotube: l t = SWCNT length; d t = SWCNT diameter Calculate mutual inductance between each pair of SWCNTs: R t = l t /s t ; s t = Spacing between SWCNTs A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., A. Nieuwoudt and Y. Massoud, IEEE Conf. Nano., 2006.

12 Total Loop Inductance Combine partial self-inductance with parital mutual inductance to determine the total loop inductance z Lmat is the partial inductance matrix z it is a vector of the normalized current in each SWCNT Modeling each nanotube discretely not a scalable solution for SWCNT bundles with 100s of individual nanotubes SWCNTs A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., A. Nieuwoudt and Y. Massoud, IEEE Conf. Nano., Scalable Inductance Modeling Solutions Equivalent width model z Model bundle as a finite number of equivalent conductors z Number of equivalent conductors determine accuracy z Adjust the cross section of each conductor to match the ohmic resistance of an individual SWCNT Equivalent conductivity model z Model bundle as a equivalent conductor with the same dimensions as the bundle z Multiple filaments can improve accuracy z Adjust conductivity to match overall ohmic resistance A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., A. Nieuwoudt and Y. Massoud, IEEE Conf. Nano.,

13 Speed and Accuracy Results for Simulated Interconnect Geometries CPU Time (s) Maxim um Percentage Error Filament 3 Filament 5 Filament 1 Conductor 2 Conductors 4 Conductors 8 Conductors Equivalent Conductivity Model Equivalent Width Model Self-Inductance Only 1 Filament 3 Filament 5 Filament 1 Conductor 2 Conductors 4 Conductors 8 Conductors Equivalent Conductivity Model Equivalent Width Model Self- Inductance Equivalent conductivity model provides the best speed versus accuracy tradeoff A. Nieuwoudt and Y. Massoud, IEEE Trans. Nano., A. Nieuwoudt and Y. Massoud, IEEE Conf. Nano., Modeling MWCNTs MWCNTs have also been proposed for interconnect and inter-layer via applications 1,2 [2] Can achieve low resistance [3] if the interior shells of the MWCNT are contacted 1,2 Number of conducting channels increases as number and diameter of shells increases 2,3 Large diameter semiconducting nanotubes relatively efficient conductors due to reduced band gap 2,3 1 A. P. Graham et al., Appl. Phys. A, H. J. Li et al., Phys. Rev. Lett., A. Naeemi and J. D. Meindl, IEEE EDL, 2006.

14 Predicting the Performance of SWCNT Bundle Interconnect Delay is an important metric for interconnect performance For global interconnect, wire delay dominates gate delay More important for intermediate length wires as technology scales Current density also important from a reliability standpoint Increases electromigration for copper interconnect For nanotubes with small diameters, saturation current per nanotube is approximately 5 µa Calculate delay and current density using HSPICE simulations 1,2 Driver and load device parameters from 22 nm node of ITRS Extract SWCNT bundle RLC values using equivalent circuit model Compare performance of SWCNT bundles with copper interconnect with the same cross-sectional dimensions 1,2 1 A. Nieuwoudt et al., ASP-DAC, Y. Massoud and A. Nieuwoudt, ACM JETC, Local Interconnect Performance: Current Density Contours: Current per Nanotube in µa Maximum current per nanotube is 3.5 µa Less than saturation current (5 to 25 µa) Similar results for global interconnect Will not pose a reliability issue A. Nieuwoudt et al., ASP-DAC, Y. Massoud and A. Nieuwoudt, ACM JETC, 2006.

15 Local/Intermediate Interconnect Performance: Delay Short bundles largely effected by contact resistance Driver resistance lowers impact of resistance on delay Delay is proportional to percentage of metallic SWCNTs SWCNT bundles may be useful in local interconnect due to their resistance to electromigration A. Nieuwoudt et al., ASP-DAC, Y. Massoud and A. Nieuwoudt, ACM JETC, Global Interconnect Performance: Delay Delay decrease for bundles primarily determined by number of nanotubes (n b ) Potential delay benefits heavily depends on future fabrication technology A. Nieuwoudt et al., ASP-DAC, Y. Massoud and A. Nieuwoudt, ACM JETC, 2006.

16 Impact of Inductance on Delay Inductive effects can have a large impact on delay for global interconnect applications Impacts delay when wide interconnect lines are present SWCNT bundles can lose a percentage of their performance advantage over copper due to inductive effects Inductive effects can also cause significant voltage overshoot With Inductance Without Inductance A. Nieuwoudt et al., ASP-DAC, A. Nieuwoudt and Y. Massoud, IEEE EDL, Magnetic and Kinetic Inductance: Inductive Reactance to Resistance Ratio Inductive reactance to resistance ratio ωl/r determines impact of inductance on impedance (Z = R + jωl) ω(l kin )/R stays at a constant value much less than 1 (assumes worst case kinetic inductance value L kin =4 nh/µm) ω(l mag + L kin )/R varies significantly and can be greater than 1 Kinetic inductance will have a small impact on delay and other inductive effects ω(l mag + L kin )/R f = 10 GHz ω(l kin )/R A. Nieuwoudt et al., ASP-DAC, A. Nieuwoudt and Y. Massoud, IEEE EDL, 2007.

17 Relative Impact of Magnetic and Kinetic Inductance Simulated delay and voltage overshoot with total inductance (L tot = L mag + L kin ) and only magnetic inductance (L mag ) L kin has small impact on SWCNT performance due to inductive effects 5% maximum contribution to delay 2.5% maximum contribution to voltage overshoot Worst case kinetic inductance value assumed (L kin =4 nh/µm) Percentage Difference in Delay (L tot L mag ) Percentage Difference in Voltage Overshoot (L tot L mag ) A. Nieuwoudt et al., ASP-DAC, A. Nieuwoudt and Y. Massoud, IEEE EDL, Potential Impact of Kinetic Inductance in Future Process Technologies The small constant kinetic inductive reactance to resistance ratio (ωl/r) limits the effect of kinetic inductance For f = 10 GHz, ωl/r is approximately 0.03 for kinetic inductance Even less important if kinetic inductance is less than theoretical value Small even for large frequencies in future process technologies Even if significant kinetic inductance is present, its practical implications on delay will be minimal In contrast, magnetic inductance can have a significant impact on SWCNT bundle performance for global interconnect Assumes L k = 4 nh/µm A. Nieuwoudt et al., ASP-DAC, A. Nieuwoudt and Y. Massoud, IEEE EDL, 2007.

18 Impact of Interconnect Manufacturing Process Variations Process variations can impact standard copper interconnect properties Multi-conductor pattern erosion and dishing due to chemicalmechanical polishing Changes conductor thickness Impacts interconnect resistance and capacitance 35% 3-sigma variations are possible in current and future process technologies 1,2 Dielectric thickness variation impact capacitance Variations in conductor width due to lithographic errors also impact interconnect resistance and capacitance Impact of process variations increases as process technology scales Important to understand potential impact of process variations for nanotube-based interconnect solutions ITRS. 2 S. Nassif, CICC, Process Variation for SWCNT Bundles We have identified 10 potential sources of process variations for SWCNT bundle interconnect 1,2 Can be categorized into two types of process variation Intra-bundle variation statistical variation of the properties of each individual nanotube in the bundle Inter-bundle variation statistical variation of the average properties of the nanotubes within the bundle Statistical distribution and amount of variation dependent on parameters in SWCNT bundle manufacturing process 3,4 1 A. Nieuwoudt and Y. Massoud, IEEE T-ED, A. Nieuwoudt and Y. Massoud, ISQED, M. Liebau et al., Fullerenes, Nanotubes, and Carbon Nanostructures, Z. Chen et al., Nanotechnology, 2006.

19 Variation Comparison between SWCNT Bundles and Copper Interconnect Simulated impact of 10 sources of variation Most SWCNT specific sources of variation impact resistance Nanotube-specific sources of variation cause SWCNT bundles to have larger uncertainty in delay Variation increases as process technology scales A. Nieuwoudt and Y. Massoud, IEEE T-ED, A. Nieuwoudt and Y. Massoud, ISQED, Manufacturing Technology for Nanotube-Based Interconnect Solutions Performance analysis highlights the importance of nanotube properties on interconnect performance Bundle geometry, nanotube diameter, and nanotube chirality greatly impact potential performance improvement over copper Need to control manufacturing tolerances to reduce the statistical uncertainty in interconnect performance To effectively realize nanotube-based interconnect solutions, two important issues must be addressed: How can we effectively control nanotube properties during the growth process? How can we efficiently integrate nanotube-based interconnect into the IC manufacturing process?

20 Control over Nanotube Structure and Geometry Control of nanotube diameter distribution during chemical vapor deposition (CVD) process studied extensively 1,2,3 Mean diameter primarily controlled by catalyst particle size and temperature Control of standard deviation also crucial Chirality not controlled in standard CVD process 4 1 C. Cheung et al., J. Phys. Chem. B, G.-H. Jeong et al., J. Appl. Phys., S. Han et al., J. Phys. Chem. B, L. Henrard et al., Eur. Phys. J. B, [1] Separating Metallic Nanotubes Proportion of metallic nanotubes greatly impacts nanotube-based interconnect performance Several potential techniques have been developed 1,2,3 AC dielectrophoresis among the most promising techniques 1,2 Apply an electric field to align SWCNTs nanotubes Tuning the frequency can separate metallic and [1] semiconducting nanotubes Position controlled by electode placement Has the potential to simultaneously generate 1000s of metallic bundles Despite promising recent results, metallic nanotube separation still an open research problem 1 J. Li et al., Appl. Phys. Lett., 2006; 2 R. Krupke et al., Science, 2003; 3 S. R. Lustig et al., J. Phys. Chem. B, 2005.

21 Large Scale Integration of Carbon Nanotube Interconnect Key requirements for the large scale integration of nanotube-based interconnect: Simultaneous parallel growth/placement of nanotubes Reliable growth/placement of nanotubes Connectivity with other interconnect and device components Vertical and horizontal interconnect required Compatible with established IC manufacturing process Large scale manufacturability will be the deciding factor for the adoption of nanotube-based interconnect solutions Vertical Integration of Nanotube Interconnect Vertical interconnect used for inter-layer vias Most mature nanotube-based interconnect fabrication technology exists in this domain Several promising methods have been proposed over the past several years 1,2,3,4 Combine the standard photolithography process with directed growth of nanotubes using CVD Have experimentally achieved similar resistance to tungsten-based vias [3] [2] 1 S. Sato et al., IEEE Interconnect Tech. Conf., Y. Awano, IEICE Trans. Electronics, X. Li et al., Nano Lett., A. P. Graham et al., Small, 2005.

22 Integration of MWCNT-Based Vias Most promising recent results reported by Fujitsu 1,2 Multi-step process Create via holes using standard process (a) Deposit layer of TiN (5 nm) into via holes (a) Deposit size-controlled Co or Ni particles on substrate (a) Grow MWCNTs from catalyst particles during CVD (b) Pattern top layer over via (c) [2] [1] 1 S. Sato et al., IEEE Interconnect Tech. Conf., Y. Awano, IEICE Trans. Electronics, Integration of MWCNT-Based Vias Manufacturing process able to generate vias in holes with diameters as low as 40 nm. Achieved 0.59 Ω resistance for an MWCNT-based via with a diameter of 2 µm (a) 2 µm; (b) 100 nm; (c) 40 nm S. Sato et al., IEEE Interconnect Tech. Conf., Y. Awano, IEICE Trans. Electronics, 2006.

23 Integration of Horizontal Nanotube-Based Interconnect Horizontal integration less mature than techniques for vertical integration Difficult to deposit catalyst particles on vertical surfaces for horizontal growth Results in lower nanotube density 1 Other types of catalysts may hold promise for directionally-directed growth 2 Important fabrication challenges remain for horizontal growth 1 Y. Awano, IEICE Trans. Electronics, Z. Chen et al., Nanotechnology, [1] [2] Conclusions Nanotube-based interconnect solutions can potentially provide a significant performance improvement as technology scales Advantages more pronounced as technology scales Global interconnect and inter-layer vias receive the most benefit Control of nanotube properties in manufacturing process crucial for realizing the potential benefits Bundle geometry, SWCNT diameter, and chirality greatly impact potential performance improvement Need to control manufacturing tolerances to reduce the statistical uncertainty in interconnect performance Large scale integration of carbon nanotube interconnect in IC fabrication is still a critical need Vertical interconnect integration process maturing Horizontal interconnect integration in its early stages of development

24 References (in Order of Appearance) Z. Chen, G. Cao, Z. Lin, I. Koehler and P. K. Bachmann, A Self-Assembled Synthesis of Carbon Nanotubes for Interconnects, Nanotechnology, vol. 17, pp , S. Li, Z. Yu, C. Rutherglen, and P. J. Burke, Electrical Properties of 0.4 cm Long Single-Walled Carbon Nanotubes, Nano Letters, vol. 4, no. 10, pp , A. P. Graham et al., How do Carbon Nanotubes Fit into the Semiconductor Roadmap, Applied Physics A, vol. 80, pp , J. W. G. Wildoeer, L. C. Venema, A. C. Rinzler, R. E. Smalley, and C. Dekker, Electronic Structure of Atomically Resolved Carbon Nanotubes, Nature, vol. 391, pp , B. Q. Wei, R. Vajtai, and P. M. Ajayan, Reliability and Current Carrying Capacity of Carbon Nanotubes, Applied Physics Letter, vol. 79, no. 8, pp , A. Thess, R. Lee, P. Nikolaev, H. Dai, P. Petit, J. Robert, C. Xu, Y. H. Lee, S. G. Kim, A. G. Rinzler, D. T. Colbert, G. E. Scuseria, D. Tománek, J. E. Fischer, and R. E. Smalley, Crystalline Ropes of Metallic Carbon Nanotubes, Science, vol. 273, pp , A. Nieuwoudt and Y. Massoud, Evaluating the Impact of Resistance in Carbon Nanotube Bundles for VLSI Interconnect using Diameter-Dependent Modeling Techniques, IEEE Transactions on Electron Devices, vol. 53, no. 10, pp , P. J. Burke, Luttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes, IEEE Transactions on Nanotechnology, vol. 1, no. 3, pp , P. J. Burke, An RF Circuit Model for Carbon Nanotubes, IEEE Transactions on Nanotechnology, vol. 2, no. 1, pp , Y. Massoud and A. Nieuwoudt, Modeling and Design Challenges and Solutions for Carbon Nanotube-Based Interconnect in Future High Performance Integrated Circuits, ACM Journal on Emerging Technologies in Computing Systems, vol. 2, no. 3, pp , J.-Y. Park et al., Electron-Phonon Scattering in Metallic Single-Walled Carbon Nanotubes, Nano Letters, vol. 4, no. 3, pp , Z. Yao, C. L. Kane, and C. Dekker, High-Field Electrical Transport in Single-Wall Carbon Nanotubes, Physical Review Letters, vol. 84, no. 13, pp , W. Kim et al., Electrical Contacts to Carbon Nanotubes Down to 1nm in Diameter, Applied Physics Letters, vol. 87, p , X. Zhou, J.-Y. Park, S. Huang, J. Liu and P. L. McEuen, Band Structure, Phonon Scattering, and the Performance Limit of Single-Walled Carbon Nanotube Transistors, Physical Review Letters, vol. 95, no. 14, p , References (in Order of Appearance) C. T. White and T. N. Todorov, Carbon Nanotubes as Long Ballistic Conductors, Nature, vol. 393, p , H. Suzuura and T. Ando, Phonons and Electron-Phonon Scattering in Carbon Nanotubes, Physical Review B, vol. 65, no. 23, p , A. Nieuwoudt and Y. Massoud, Accurate Resistance Modeling for Carbon Nanotube Bundles in VLSI Interconnect, in Proceedings of the IEEE Conference on Nanotechnology, pp , S. Eachempati, A. Nieuwoudt, A. Gayasen, N. Vijaykrishnan and Y. Massoud, "Assessing Carbon Nanotube Bundle Interconnect for Future FPGA Architectures," to appear in Proceedings of the IEEE Design, Automation and Test in Europe, S. Salahuddin, M. Lundstrom, and S. Datta, Transport Effects on Signal Propagation in Quantum Wires, IEEE Transactions on Electron Devices, vol. 52, no. 8, pp , K. Nabors and J. White, Fastcap: A Multipole Accelerated 3-D Capacitance Extraction Program, IEEE Transactions on Computer Aided Design of Circuits and Systems, vol. 10, no. 11, pp , A. Nieuwoudt and Y. Massoud, Understanding the Impact of Inductance in Carbon Nanotube Bundles for VLSI Interconnect using Scalable Modeling Techniques, IEEE Transactions on Nanotechnology, vol. 5, no. 6, pp , R. Tarkiainen et al., Multiwalled Carbon Nanotube: Luttinger versus Fermi Liquid, Physical Review B, vol. 64, no. 19, p , Z. Yu and P. J. Burke, Microwave Transport in Metallic Single-Walled Carbon Nanotubes, Nano Letters, vol. 5, no. 7, pp , A. Nieuwoudt and Y. Massoud, Scalable Modeling of Magnetic Inductance in Carbon Nanotube Bundles for VLSI Interconnect, in Proceedings of the IEEE Conference on Nanotechnology, 2006, pp H. J. Li, W. G. Lu, J. J. Li, X. D. Bai, and C. Z. Gu, Multichannel Ballistic Transport in Multiwall Carbon Nanotubes, Physical Review Letters, vol. 95, no. 8, pp , A. Naeemi and J. D. Meindl, Compact Physical Models for Multiwall Carbon-Nanotube Interconnects, IEEE Electron Device Letters, vol. 27, no. 5, pp , A. Nieuwoudt and Y. Massoud, "Predicting the Performance and Reliability of Carbon Nanotube Bundles for On-Chip Interconnect," in Proceedings of the Asia and South Pacific Design Automation Conference, 2007, pp A. Nieuwoudt and Y. Massoud, Performance Implications of Inductive Effects for Carbon Nanotube Bundle Interconnect, to appear in IEEE Electron Device Letters, S. Nassif, Modeling and Analysis of Manufacturing Variations, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp , 2001.

25 References (in Order of Appearance) A. Nieuwoudt and Y. Massoud, "Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions," to appear in Proceedings of the International Symposium on Quality Electronic Design, A. Nieuwoudt and Y. Massoud, On the Impact of Process Variations for Carbon Nanotube Bundles for VLSI Interconnect, IEEE Transactions on Electron Devices, vol. 54, no. 3, pp , M. Liebau et al., Nanoelectronics Based on Carbon Nanotubes: Technological Challenges and Recent Developments, Fullerenes, Nanotubes, and Carbon Nanostructures, vol. 13, no. 1, pp , S. Eachempati, N. Vijaykrishnan, A. Nieuwoudt, and Y. Massoud, Impact of Process Variations on Carbon Nanotube Bundle Interconnect for Future FPGA Architectures, to appear in Proceedings of the IEEE International Symposium on VLSI, C. L. Cheung, A. Kurtz, H. Park, and C. M. Lieber, Diameter-Controlled Synthesis of Carbon Nanotubes, Journal of Physical Chemistry B, vol. 106, no. 10, pp , G.-H. Jeong et al., Effect of Nanoparticle Density on Narrow Diameter Distribution of Carbon Nanotubes and Particle Evolution during Chemical Vapor Deposition Growth, Journal of Applied Physics, vol. 98, p , S. Han et al., Diameter-Controlled Synthesis of Discrete and Uniform-Sized Single-Walled Carbon Nanotubes Using Monodisperse Iron Oxide Nanoparticles Embedded in Zirconia Nanoparticle Arrays as Catalysts, Journal of Physical Chemistry B, vol. 108, no. 24, pp , L. Henrard, A. Loiseau, C. Joumet, and P. Bernier, Study of the Symmetry of Single-Wall Nanotubes by Electron Diffraction, European Physical Journal B, vol. 13, pp , J. Li, Q. Zhang, N. Peng, and Q. Zhu, Manipulation of Carbon Nanotubes using AC Dielectrophoresis, Appl. Phys. Lett., vol. 86, p , R. Krupke, H. Hennrich, H. W. Lohneysen,, and M. M. Kappes, Separation of Metallic From Semiconducting Single- Walled Carbon Nanotubes, Science, vol. 301, pp , S. R. Lustig, A. Jagota, C. Khripin, and M. Zheng, Theory of Structure-Based Carbon Nanotube Separations by Ion- Exchange Chromatography of DNA/CNT Hybrids, Journal of Physical Chemistry B, vol. 109, no. 7, pp , X. S. Li, A. Y. Cao, Y. J. Jung, R. Vajtai, and P. M. Ajayan, Bottom-up Growth of Carbon Nanotube Multilayers: Unprecedented Growth, Nano Letters, vol. 5, no. 10, pp , S. Sato et al., Novel Approach to Fabricating Carbon Nanotube via Interconnects using Size-Controlled Catalyst Nanoparticles, in Proceedings of IEEE International Interconnect Technology Conference, Y. Awano, Carbon Nanotube Technologies for LSI via Interconnects, IEICE Transactions of Electronics, vol. 89, no. 11, pp , Acknowledgments Research advisor Prof. Yehia Massoud Collaborators on my nanotube research Soumya Eachempati (PSU) Prof. Vijay Narayanan (PSU) Aman Gayasen (Synopsys) Mosin Mondal (Univ. Washington) Colleagues in the Rice Automated Nanoscale Design (RAND) Group Prof. Lin Zhong and Prof. Jim Tour Colleagues in the ELEC 527 class

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper)

Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Power and Area Reduction using Carbon Nanotube Bundle Interconnect in Global Clock Tree Distribution Network (Invited Paper) Yuan Xie, Soumya Eachempati, Aditya Yanamandra, Vijaykrishnan Narayanan, Mary

More information

SWCNT Based Interconnect Modeling Using Verilog-AMS

SWCNT Based Interconnect Modeling Using Verilog-AMS SW Based Interconnect odeling Using Verilog-AS Hafizur Rahaman, Debaprasad Das*, and Avishek Sinha Roy** School of VSI Technology, Bengal Engineering and Science University, Shibpur, India. Email: rahaman_h@it.becs.ac.in,

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India)

More information

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS Thesis submitted in the partial fulfillment of the requirement for the award of degree of MASTER OF TECHNOLOGY IN VLSI

More information

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834, p- ISSN: 2278-8735. Volume 4, Issue 6 (Jan. - Feb. 2013), PP 32-36 Modeling and Performance analysis of Metallic

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara Forms of Carbon Carbon atom can form several distinct types of valence

More information

Nanocarbon Interconnects - From 1D to 3D

Nanocarbon Interconnects - From 1D to 3D Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary Device Scaling driven

More information

Carbon Nanotube Devices for GHz to THz Applications

Carbon Nanotube Devices for GHz to THz Applications Invited Paper Carbon Nanotube Devices for GHz to THz Applications Peter J. Burke * Department of Electrical Engineering and Computer Science University of California, Irvine Irvine, CA 92697-2625 ABSTRACT

More information

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

What are Carbon Nanotubes? What are they good for? Why are we interested in them? Growth and Properties of Multiwalled Carbon Nanotubes What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? - our vision Where do we stand - our

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon Nanotubes Yung-Fu Chen and M. S. Fuhrer Department of Physics and Center for Superconductivity Research, University of Maryland,

More information

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect

Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Evaluation and Comparison of Single-Wall Carbon Nanotubes and Copper as VLSI Interconnect Gurleen Dhillon 1, Karamjit Singh 2 Student, Electronics and Communication Engineering Department, Thapar University,

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

I-V characteristics model for Carbon Nanotube Field Effect Transistors

I-V characteristics model for Carbon Nanotube Field Effect Transistors International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 33 I-V characteristics model for Carbon Nanotube Field Effect Transistors Rebiha Marki, Chérifa Azizi and Mourad Zaabat. Abstract--

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Recap (so far) Low-Dimensional & Boundary Effects

Recap (so far) Low-Dimensional & Boundary Effects Recap (so far) Ohm s & Fourier s Laws Mobility & Thermal Conductivity Heat Capacity Wiedemann-Franz Relationship Size Effects and Breakdown of Classical Laws 1 Low-Dimensional & Boundary Effects Energy

More information

Graphene Novel Material for Nanoelectronics

Graphene Novel Material for Nanoelectronics Graphene Novel Material for Nanoelectronics Shintaro Sato Naoki Harada Daiyu Kondo Mari Ohfuchi (Manuscript received May 12, 2009) Graphene is a flat monolayer of carbon atoms with a two-dimensional honeycomb

More information

Are Carbon Nanotubes the Future of VLSI Interconnections?

Are Carbon Nanotubes the Future of VLSI Interconnections? Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106 e-mail: {kaustav,

More information

2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009

2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009 2202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009 High-Frequency Analysis of Carbon Nanotube Interconnects and Implications for On-Chip Inductor Design Hong Li, Student Member,

More information

An RF Circuit Model for Carbon Nanotubes

An RF Circuit Model for Carbon Nanotubes IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 2, NO. 1, MARCH 2003 55 An RF Circuit Model for Carbon Nanotubes Abstract We develop an RF circuit model for single walled carbon nanotubes for both dc and capacitively

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:.38/nature09979 I. Graphene material growth and transistor fabrication Top-gated graphene RF transistors were fabricated based on chemical vapor deposition (CVD) grown graphene on copper (Cu). Cu foil

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M.

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Mominuzzaman Department of Electrical and Electronic Engineering, Bangladesh

More information

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices? EECS 498/598: Nanocircuits and Nanoarchitectures Lecture 1: Introduction to Nanotelectronic Devices (Sept. 5) Lectures 2: ITRS Nanoelectronics Road Map (Sept 7) Lecture 3: Nanodevices; Guest Lecture by

More information

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1162 1166 A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Y. S.

More information

Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits

Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits NANO LETTERS 2007 Vol. 7, No. 12 3603-3607 Zhiyong Zhang, Xuelei Liang,*, Sheng Wang, Kun Yao, Youfan Hu, Yuzhen Zhu,

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

AC performance of nanoelectronics: towards a ballistic THz nanotube transistor

AC performance of nanoelectronics: towards a ballistic THz nanotube transistor Solid-State Electronics 48 (2004) 98 986 www.elsevier.com/locate/sse AC performance of nanoelectronics: towards a ballistic THz nanotube transistor Peter J. Burke * Integrated Nanosystems Research Facility,

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube

A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Journal of Optoelectronical Nanostructures Islamic Azad University Winter 2017 / Vol. 2, No. 4 A Novel Design of Penternary Inverter Gate Based on Carbon Nano Tube Mahdieh Nayeri 1, Peiman Keshavarzian*,1,

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

Application of Nanotechnology in High Frequency and Microwave Devices

Application of Nanotechnology in High Frequency and Microwave Devices Avestia Publishing International Journal of Theoretical and Applied Nanotechnology Volume 1, Issue 1, Year 2012 ISSN: 1929-1248 Article ID: 020, DOI: 10.11159/ijtan.2012.020 134 Application of Nanotechnology

More information

Nanoelectromagnetics: Circuit and Electromagnetic Properties of Carbon Nanotubes

Nanoelectromagnetics: Circuit and Electromagnetic Properties of Carbon Nanotubes Nanoelectromagnetics: Circuit and Electromagnetic Properties of Carbon Nanotubes Chris Rutherglen and Peter Burke* Electromagnetism From the Contents 1. Introduction...885 2. Scope and Aim of Review....885

More information

Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications

Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications Scaling and Evaluation of Carbon Nanotube Interconnects for VLSI Applications Fred Chen, Ajay Joshi, Vladimir Stojanović, Anantha Chandrakasan Dept. of EECS, Massachusetts Institute of Technology {fredchen,

More information

LETTERS. Sangjin Han, Taekyung Yu, Jongnam Park, Bonil Koo, Jin Joo, and Taeghwan Hyeon* Seunghun Hong and Jiwoon Im

LETTERS. Sangjin Han, Taekyung Yu, Jongnam Park, Bonil Koo, Jin Joo, and Taeghwan Hyeon* Seunghun Hong and Jiwoon Im Copyright 2004 by the American Chemical Society VOLUME 108, NUMBER 24, JUNE 17, 2004 LETTERS Diameter-Controlled Synthesis of Discrete and Uniform-Sized Single-Walled Carbon Nanotubes Using Monodisperse

More information

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2 Properties of CNT d = 2.46 n 2 2 1 + n1n2 + n2 2π Metallic: 2n 1 +n 2 =3q Armchair structure always metallic a) Graphite Valence(π) and Conduction(π*) states touch at six points(fermi points) Carbon Nanotube:

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband Application

Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband Application Engineering, 2017, 9, 22-35 http://www.scirp.org/journal/eng ISSN Online: 1947-394X ISSN Print: 1947-3931 Designing a Carbon Nanotube Field-Effect Transistor with High Transition Frequency for Ultra-Wideband

More information

ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures

ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures ac ballistic transport in a two-dimensional electron gas measured in GaAs/ AlGaAs heterostructures Sungmu Kang and Peter J. Burke Henry Samueli School of Engineering, Electrical Engineering and Computer

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Design Of Ternary Logic Gates Using CNTFET

Design Of Ternary Logic Gates Using CNTFET International Journal of Research in Computer and Communication Technology, Vol 4, Issue 3, March -2015 ISSN (Online) 2278-5841 ISSN (Print) 2320-5156 Design Of Ternary Logic Gates Using CNTFET Aashish

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 43.2 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu EE Department, UCLA Los Angeles, CA 90095 Lei He EE Department, UCLA Los Angeles, CA 90095 ABSTRACT The geometry-integration based

More information

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic The Wire July 30, 2002 1 The Wire transmitters receivers schematics physical 2 Interconnect Impact on

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

INTERCONNECTS for integrated circuits have traditionally

INTERCONNECTS for integrated circuits have traditionally 1734 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 52, NO. 8, AUGUST 2005 Transport Effects on Signal Propagation in Quantum Wires Sayeef Salahuddin, Mark Lundstrom, Fellow, IEEE, and Supriyo Datta, Fellow,

More information

Title: Ultrafast photocurrent measurement of the escape time of electrons and holes from

Title: Ultrafast photocurrent measurement of the escape time of electrons and holes from Title: Ultrafast photocurrent measurement of the escape time of electrons and holes from carbon nanotube PN junction photodiodes Authors: Nathaniel. M. Gabor 1,*, Zhaohui Zhong 2, Ken Bosnick 3, Paul L.

More information

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation

Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Journal of Materials Science and Engineering A 6 (7-8) (2016) 205-212 doi: 10.17265/2161-6213/2016.7-8.003 D DAVID PUBLISHING Carbon Nanotube Ring Oscillator for Detecting Ionized Radiation Yaser Mohammadi

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

Improving the Electrical Contact Property of Single-Walled Carbon Nanotube Arrays by Electrodeposition

Improving the Electrical Contact Property of Single-Walled Carbon Nanotube Arrays by Electrodeposition www.nmletters.org Improving the Electrical Contact Property of Single-Walled Carbon Nanotube Arrays by Electrodeposition Min Zhang (Received 10 August 2013; accepted 10 September 2013; published online

More information

All-around contact for carbon nanotube field-effect transistors made by ac dielectrophoresis

All-around contact for carbon nanotube field-effect transistors made by ac dielectrophoresis All-around contact for carbon nanotube field-effect transistors made by ac dielectrophoresis Zhi-Bin Zhang a and Shi-Li Zhang b Department of Microelectronics and Information Technology, Royal Institute

More information

Electromagnetics in COMSOL Multiphysics is extended by add-on Modules

Electromagnetics in COMSOL Multiphysics is extended by add-on Modules AC/DC Module Electromagnetics in COMSOL Multiphysics is extended by add-on Modules 1) Start Here 2) Add Modules based upon your needs 3) Additional Modules extend the physics you can address 4) Interface

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

A Broadband Flexible Metamaterial Absorber Based on Double Resonance

A Broadband Flexible Metamaterial Absorber Based on Double Resonance Progress In Electromagnetics Research Letters, Vol. 46, 73 78, 2014 A Broadband Flexible Metamaterial Absorber Based on Double Resonance ong-min Lee* Abstract We present a broadband microwave metamaterial

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Black phosphorus: A new bandgap tuning knob

Black phosphorus: A new bandgap tuning knob Black phosphorus: A new bandgap tuning knob Rafael Roldán and Andres Castellanos-Gomez Modern electronics rely on devices whose functionality can be adjusted by the end-user with an external knob. A new

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Nanoelectronics. Topics

Nanoelectronics. Topics Nanoelectronics Topics Moore s Law Inorganic nanoelectronic devices Resonant tunneling Quantum dots Single electron transistors Motivation for molecular electronics The review article Overview of Nanoelectronic

More information

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M)

Nano-mechatronics. Presented by: György BudaváriSzabó (X0LY4M) Nano-mechatronics Presented by: György BudaváriSzabó (X0LY4M) Nano-mechatronics Nano-mechatronics is currently used in broader spectra, ranging from basic applications in robotics, actuators, sensors,

More information

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda,

More information

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 ChiiDong Chen Institute of Physics, Academia Sinica chiidong@phys.sinica.edu.tw 02 27896766 Section 5.2.1 Nature of the Carbon Bond

More information

Quantised Thermal Conductance

Quantised Thermal Conductance B B Quantised Thermal Conductance In 1983 J Pendry published a paper on the quantum limits to the flow of information and entropy [Pendry'83]. In it he showed that there is an inequality that limits the

More information

Manufacture of Nanostructures for Power Electronics Applications

Manufacture of Nanostructures for Power Electronics Applications Manufacture of Nanostructures for Power Electronics Applications Brian Hunt and Jon Lai Etamota Corporation 2672 E. Walnut St. Pasadena, CA 91107 APEC, Palm Springs Feb. 23rd, 2010 1 Background Outline

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS

HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS HALF ADDER DESIGN AND SIMULATION USING GRAPHENE NANORIBBONS AND FINFETS ABSTRACT Nishtha Khare 1, Vangmayee Sharda 2 and Anushree 1 1 Hindustan college of science & technology, Farah, Mathura (U.P), India

More information

Lüttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes

Lüttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 1, NO. 3, SEPTEMBER 2002 129 Lüttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes P. J. Burke Abstract We present a technique

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Modeling and optimization of noise coupling in TSV-based 3D ICs

Modeling and optimization of noise coupling in TSV-based 3D ICs LETTER IEICE Electronics Express, Vol.11, No.20, 1 7 Modeling and optimization of noise coupling in TSV-based 3D ICs Yingbo Zhao, Yintang Yang, and Gang Dong a) School of Microelectronics, Xidian University,

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Linear and Nonlinear Microwave Characterization of CVD- Grown Graphene Using CPW Structure

Linear and Nonlinear Microwave Characterization of CVD- Grown Graphene Using CPW Structure Linear and Nonlinear Microwave Characterization of CVD- Grown Graphene Using CPW Structure Mingguang Tuo 1, Si Li 1,2, Dongchao Xu 3, Min Liang 1, Qi Zhu 2, Qing Hao 3, Hao Xin 1 1 Department of Electrical

More information

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations Chinese Journal of Electronics Vol.4, No.1, Jan. 015 Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations LI Jianwei 1,,DONGGang 3, WANG Zeng 4 and YE Xiaochun (1.Faculty

More information

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions 2068 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 11, NOVEMBER 2000 Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions Jeffrey A. Davis

More information

Plastic Deformations in Mechanically Strained Single-Walled Carbon Nanotubes. Department of Physics, Harvard University, Cambridge, MA 02138

Plastic Deformations in Mechanically Strained Single-Walled Carbon Nanotubes. Department of Physics, Harvard University, Cambridge, MA 02138 Plastic Deformations in Mechanically Strained Single-Walled Carbon Nanotubes Dolores Bozovic*, M. Bockrath Department of Physics, Harvard University, Cambridge, MA 02138 Jason H. Hafner, Charles M. Lieber,

More information

Preamplifier in 0.5µm CMOS

Preamplifier in 0.5µm CMOS A 2.125 Gbaud 1.6kΩ Transimpedance Preamplifier in 0.5µm CMOS Sunderarajan S. Mohan Thomas H. Lee Center for Integrated Systems Stanford University OUTLINE Motivation Shunt-peaked Amplifier Inductor Modeling

More information

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures 1 Milad Abtin, 2 Ali Naderi 1 Department of electrical engineering, Masjed

More information

Supporting Infromation

Supporting Infromation Supporting Infromation Transparent and Flexible Self-Charging Power Film and Its Application in Sliding-Unlock System in Touchpad Technology Jianjun Luo 1,#, Wei Tang 1,#, Feng Ru Fan 1, Chaofeng Liu 1,

More information

Supporting Information

Supporting Information Supporting Information MoSe2 embedded CNT-Reduced Graphene Oxide (rgo) Composite Microsphere with Superior Sodium Ion Storage and Electrocatalytic Hydrogen Evolution Performances Gi Dae Park, Jung Hyun

More information

Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects

Impact of Supply and Threshold Voltage Scaling on Performance of Cu and CNT Interconnects Volume 118 No. 5 018, 117-16 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Impact of Supply and Threshold Voltage Scaling on Performance of Cu and

More information

Carbon Nanotubes and Graphene Nanoribbons: Potentials for Nanoscale Electrical Interconnects

Carbon Nanotubes and Graphene Nanoribbons: Potentials for Nanoscale Electrical Interconnects Electronics 2013, 2, 280-314; doi:10.3390/electronics2030280 Review OPEN ACCESS electronics ISSN 2079-9292 www.mdpi.com/journal/electronics Carbon Nanotubes and Graphene Nanoribbons: Potentials for Nanoscale

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

Chapter 3 Properties of Nanostructures

Chapter 3 Properties of Nanostructures Chapter 3 Properties of Nanostructures In Chapter 2, the reduction of the extent of a solid in one or more dimensions was shown to lead to a dramatic alteration of the overall behavior of the solids. Generally,

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Challenges for Materials to Support Emerging Research Devices

Challenges for Materials to Support Emerging Research Devices Challenges for Materials to Support Emerging Research Devices C. Michael Garner*, James Hutchby +, George Bourianoff*, and Victor Zhirnov + *Intel Corporation Santa Clara, CA + Semiconductor Research Corporation

More information

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS Progress In Electromagnetics Research, Vol. 19, 197 14, 1 A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS J. C. Li *, Y. L. Wu, Y. A. Liu, J. Y. Shen, S. L. Li, and C.

More information

Thermal properties of carbon nanotube array used for integrated circuit cooling

Thermal properties of carbon nanotube array used for integrated circuit cooling JOURNAL OF APPLIED PHYSICS 100, 074302 2006 Thermal properties of carbon nanotube array used for integrated circuit cooling Yuan Xu, Yi Zhang, a and Ephraim Suhir Nanoconduction Inc., 1275 Reamwood Avenue,

More information

Electronic Devices Based on Purified Carbon Nanotubes Grown By High Pressure Decomposition of Carbon Monoxide

Electronic Devices Based on Purified Carbon Nanotubes Grown By High Pressure Decomposition of Carbon Monoxide Electronic Devices Based on Purified Carbon Nanotubes Grown By High Pressure Decomposition of Carbon Monoxide Danvers E. Johnston, Mohammad F. Islam, Arjun G. Yodh, and Alan T. Johnson Department of Physics

More information

Trends in Nanotechnology: Self-Assembly and Defect Tolerance

Trends in Nanotechnology: Self-Assembly and Defect Tolerance Trends in Nanotechnology: Self-Assembly and Defect Tolerance (Invited paper submitted to MSTNEWS 3 January 2001) T. I. Kamins and R. Stanley Williams Quantum Science Research, Hewlett-Packard Laboratories,

More information

Lectures Graphene and

Lectures Graphene and Lectures 15-16 Graphene and carbon nanotubes Graphene is atomically thin crystal of carbon which is stronger than steel but flexible, is transparent for light, and conducts electricity (gapless semiconductor).

More information

COMPACT BANDSTOP FILTER WITH MULTIPLE RE- JECTION ZEROS. Electronic Science and Technology of China, Chengdu , China

COMPACT BANDSTOP FILTER WITH MULTIPLE RE- JECTION ZEROS. Electronic Science and Technology of China, Chengdu , China Progress In Electromagnetics Research Letters, Vol. 37, 55 64, 2013 COMPACT BANDSTOP FILTER WITH MULTIPLE RE- JECTION ZEROS Guotao Yue 1, *, Xubo Wei 1, 2, Bo Fu 1, Shuanglin Yuan 1, Meijuan Xu 1, and

More information

Nanoscale Diodes Without p-n Junctions

Nanoscale Diodes Without p-n Junctions Nanoscale Diodes Without p-n Junctions Mircea DRAGOMAN National Institute for Research and Development in Microtechnology (IMT), P.O. Box 38-160, 023573 Bucharest, Romania E-mail: mircea.dragoman@imt.ro

More information