Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Size: px
Start display at page:

Download "Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration"

Transcription

1 Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing , China

2 Importance of Inductance Extraction for P/G Grid More than thousand million transistors Working frequency: multiple giga-hertz (GHz) Power consumption increases exponentially Capture the potential problems of power integrity Accurate modeling and dynamic simulation of the power/ground (P/G) grid critical for VLSI circuit design and verification.

3 Importance of Inductance Extraction for P/G Grid Modeling the inductive effect of on-chip and off-chip interconnects is another research focus for current nano-scale VLSI chip. Conventional RC model is not enough Resistance copper, capacitance Low-k material Denser geometries, growing complexity of interconnect structures bring challenges to on-chip inductance modeling and extraction

4 Main Difficulty One major difficulty: unknown return path. The partial element equivalent circuit (PEEC) model The resulted inductance matrix is dense. Simply truncating would make the system unstable Prevents inductive modeling of large-scale interconnect structures, such as the P/G grid.

5 Introduction of K The reluctance matrix K is the inverse of inductance matrix L, introduced in [Devgan ICCAD 00] 1 K L (1) K has the locality similar to capacitance. Later works show circuit simulation has great advantage in both speed and accuracy. [Du ASP-DAC 05] proved: the sparsified partial reluctance matrix is positive definite the circuit simulation is stable

6 Previous Works Considering High Frequency Effect [Luk ASP-DAC 04]: necessity of considering high-frequency effect extension of double-inversion on DATE 01. [Wei ICCCAS 05]: extend to admittance at ultra high frequency obtain inductance and resistance. [Zhang ASP-DAC 06]: direct extraction, combined with window technique avoid double-inversion computation We improved and reinforced through calculating frequency-dependent resistance in 2007

7 Structure Based Idea P/G grid extraction problem: large scale [Shi TCAD 07]: a pattern idea to accelerate the DC simulation the geometry characteristics topology similarity to sub-matrix regularity. divided the whole P/G grid into blocks reuse of resistance elements among blocks Not sufficient, dynamitic simulation with capacitance and inductance required. Inductance extraction is very time consuming. Brought the idea in extraction

8 Main Contribution Structure regularity exploited, locality property of reluctance. Block division, reuse scheme. Combined with frequency-dependent reluctance and resistance extraction Inductive modeling with high-frequency effect. up to 10 5 of wire segments several to tens of times faster than existing methods preserving high accuracy.

9 Overview of Window-Based Extraction window-based method, main steps: 1. For conductor i, select window Wi; 2. Calculate the mutual reluctances within Wi, conductor i and conductors outside is set to 0; 3. Execute the above steps for every conductor, fill reluctances, column by column, 4. Generate a symmetric reluctance matrix

10 High-frequency Effects Not considering the high-frequency effects: inverting the inductance matrix, based on (1). Considering the high-frequency effects: conductors meshed into filaments. The frequency-dependent reluctance can be extracted, collaborated with the window technique. The flow will not change, the intra-window extraction (i.e. the 2nd step) becomes complicated.

11 P/G Grid Structure Several metal layers, mesh structure Along either X-axis or Y-axis, alternatively. Power wires interlaced with ground wires. Connected through vias, which cut the wires into small metal segments. Via Ground wire Power wire Figure 1. A two-layer structure of P/G grid.

12 P/G Structure In a certain metal layer, the same width, and the same pitch The evenly distributed metal wires, evenly distribution of vias. If irregular in later design stages, regularization process can be performed to make the distribution of P/G wires similar [Shi TCAD 07]. In this paper, the regularity is taken advantage, for high-frequency reluctance and resistance extraction.

13 Basic Idea of Block Reuse Fig. 2 The X-Y plane partition of P/G grid with overlapped blocks [Shi TCAD 07] a pattern idea for DC simulation Explores the geometry characteristics Translates topology similarity to sub-matrix regularity. Divided into blocks on the X-Y plane (see Fig. 2) Reuse of resistance elements among blocks.

14 Basic Idea of Block Reuse Fig 3. The reluctance is different z z x x (c) (a) (d) (b) Wires on different layers are denoted by diamond and ellipse marks. Extended for reluctance extraction The idea can not be directly applied The reluctance affected by environment

15 Basic Idea of Block Reuse Mutual impedance of perpendicular conductors negligible, the reluctance interaction among metal wires along same direction considered. Reluctance for wires along Y-axis and describe the block partition along X-axis. Fig. 3 and 4 shows the side view of two-layer Y- direction P/G wires for extraction. Assume power wire and ground wire appear in pair and their distance is the same. Only plot the P wires.

16 Basic Idea of Block Reuse pitch 3 overlapped blocks. Geometric is identical. The results reused for other blocks. z block 1 x block 2 block 3 Figure 4. The division of blocks Proper block position and size, the error induced may be very limited.

17 Basic Idea of Block Reuse Wires along X-axis handled with similar procedure Whole reluctance matrix generated.

18 Algorithm Flow For X-direction, determine the block division from the Y-Z plane view; Y-direction similarly, obtain the blocks on the X-Y plane; Extract the reluctances for the X-direction wires and Y-direction wires within the middle block, respectively; If considering high-frequency effect, both reluctance and resistance are obtained; Assemble the extraction results to obtain two global matrices, one for X-direction wires and the other for Y-direction wires; combine the two matrices to obtain the whole reluctance matrix.

19 Algorithm Analysis Reduces the number of conductors to that within one block. Speedup ratio: approximate to the ratio of the number of segments in the whole P/G grid over that in a block. The number of wires within block obtained may approximate to the number of P/G wires. degrade to window-based algorithm. suitable for number of wire within block is small.

20 Numerical Results The proposed algorithm implemented as PG_extractor, for frequency-dependent reluctance and resistance extraction considering the regular P/G grid structure. Compared with the DRRE (direct reluctance and resistance extraction) [Zhang 06, Zeng 07] and the impedance extractor FastHenry [Kamon TMTT 94 ] developed by MIT. [Zhang 06]M. Zhang, W. Yu, et al., An efficient algorithm for 3-D reluctance extraction considering high frequency effect, ASP-DAC, [Zeng 07]S. Zeng, W. Yu, et al., Efficient extraction of the frequency-dependent K element and resistance of VLSI interconnects, Acta Electronica Sinica, 2007 (in Chinese).

21 Numerical Results: the First Example Table 1: Error distribution of loop inductance for the fist case PG_extractor vs Fast- Henry[14] DRRE vs FastHenry PG_extractor vs DRRE Error distribution of loop inductance (%) <3% 3%- 6% >6 % Four layers,1830 segments. Upper two layers: 10 P wires and 10 G wires, pitch: 6.36 m Lower two layers: 16 P wires and 16 G wires, pitch: 4.23 m. 3 3 blocks, each block: Upper two layers: 6 P wires, 6 G wires The lower two: 10 P wires. 10 G wires

22 Other Three Examples Similar structure, different wire pitches and number of wires. Segment numbers: 4810, and , 10GHz, segment in upper two layers partitioned into 3 3 filaments The second case: lower two layers: 25 P wires, 25 G wires, upper two layers: 17 P wires, 17 G wires, 6 6 blocks 99% of loop inductances have discrepancy within 3%.

23 Numerical Result Table 2 Time comparison Case Segment # FastHenry * DRRE PG_extractor Speedup * * The speedup is with respect to DRRE * FastHenry is not able to extract the impedance for the three larger cases, due to the limitation of CPU time and memory usage

24 Conclusion Exploit the regularity of P/G grid, Technique of block division, blocks with similar inner structure, reuse scheme Efficient window-based method. Handle large-scale P/G grid structure with high accuracy and efficiency. In the future extending for specific P/G grid structures, investigating the regularity of reluctance matrix for accelerating dynamic simulations.

25 Thank you!

3-D Inductance and Resistance Extraction for Interconnects

3-D Inductance and Resistance Extraction for Interconnects 3-D Inductance and Resistance Extraction for Interconnects Shuzhou Fang, Liu Yang and Zeyi Wang Dept. of Computer Science & Technology Tsinghua University, Beijing 100084, China Aug. 20, 2002 Content PEEC

More information

Fa st Inductance and Re sistance Extraction of 32D VLSI Interconnects Ba sed on the Method of K Element

Fa st Inductance and Re sistance Extraction of 32D VLSI Interconnects Ba sed on the Method of K Element 8 2005 8 ACTA ELECTRONICA SINICA Vol. 33 No. 8 Aug. 2005 K,,, (, 100084) : GHz,, K ( ),,,,, FastHenry : ; ; K ; ; : TN47 : A : 037222112 (2005) 0821365205 Fa st Inductance and Re sistance Extraction of

More information

Reluctance/Inductance Matrix under

Reluctance/Inductance Matrix under Generating Stable and Sparse Reluctance/Inductance Matrix under Insufficient Conditions Y. Tanji, Kagawa University, Japan T. Watanabe, The University it of Shizuoka, Japan H. Asai, Shizuoka University,

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 43.2 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu EE Department, UCLA Los Angeles, CA 90095 Lei He EE Department, UCLA Los Angeles, CA 90095 ABSTRACT The geometry-integration based

More information

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Hao Zhuang 1, 2, Wenjian Yu 1 *, Gang Hu 1, Zuochang Ye 3 1 Department

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 49 Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method Haitian Hu, Member, IEEE,

More information

Hierarchical Interconnect Circuit Models

Hierarchical Interconnect Circuit Models Hierarchical Interconnect Circuit Models Michael Beattie, Satrat Gupta and Lawrence Pileggi Carnegie Mellon University Department of Electrical and Computer Engineering 5 Forbes ve. Pittsburgh, P 15213

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

A Solenoidal Basis Method For Efficient Inductance Extraction Λ

A Solenoidal Basis Method For Efficient Inductance Extraction Λ A Solenoidal Basis Method For Efficient Inductance Extraction Λ Hemant Mahawar Department of Computer Science Texas A&M University College Station, TX 77843 mahawarh@cs.tamu.edu Vivek Sarin Department

More information

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor 884 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 7, JULY 2003 INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor Tsung-Hao Chen, Clement Luk,

More information

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30 ANSOFT Q3D TRANING Introduction HFSS 3D EM Analysis S-parameter Q3D R/L/C/G Extraction Model 0-5 -10 magnitude [db] -15-20 -25-30 S11 S21-35 0 1 2 3 4 5 6 7 8 9 10 Frequency [GHz] Quasi-static or full-wave

More information

KSim: A Stable and Efficient RKC Simulator for Capturing On-Chip Inductance Effect

KSim: A Stable and Efficient RKC Simulator for Capturing On-Chip Inductance Effect KSim: A Stable and Efficient KC Simulator for Capturing OnChip Inductance Effect Hao Ji Anirudh Devgan Wayne Dai UC Santa Cruz CE Dept IBM Microelectronics UC Santa Cruz CE Dept Santa Cruz, CA 90 Austin,

More information

Improved pre-characterization method for the random walk based capacitance extraction of multi-dielectric VLSI interconnects

Improved pre-characterization method for the random walk based capacitance extraction of multi-dielectric VLSI interconnects INTERNATIONAL JOURNAL OF NUMERICAL MODELLING: ELECTRONIC NETWORKS, DEVICES AND FIELDS Int. J. Numer. Model. 2016; 29:21 34 Published online 8 January 2015 in Wiley Online Library (wileyonlinelibrary.com)..2042

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

Fast Simulation of VLSI Interconnects

Fast Simulation of VLSI Interconnects Fast Simulation of VLSI Interconnects Jitesh Jain, Cheng-Kok Koh, and Venkataramanan Balakrishnan School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 4797-1285 {jjain,chengkok,ragu}@ecn.purdue.edu

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor Tsung-Hao Chen, Clement Luk, Hyungsuk Kim, Charlie Chung-Ping Chen { tchen, lukc, hyungsuk }@cae.wisc.edu, chen@engr.wisc.edu Electrical

More information

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method 2014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 12, DECEMBER 2013 Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method Wenjian

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 1 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu, Student Member, IEEE, Lei He, Member, IEEE Abstract The geometry-integration based vector potential equivalent circuit (VPEC) was introduced

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K

How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K How to Efficientl Capture On-Chip Inductance Effects: Introducing a New Circuit Element K Anirudh Devgan Hao Ji Wane Dai IBM Microelectronics UC Santa Cruz CE Dept. UC Santa Cruz CE Dept. Austin, TX 5

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Algorithms in FastImp: A Fast and Wide-Band Impedance Extraction Program for Complicated 3-D Geometries

Algorithms in FastImp: A Fast and Wide-Band Impedance Extraction Program for Complicated 3-D Geometries IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 981 Algorithms in FastImp: A Fast and Wide-Band Impedance Extraction Program for Complicated 3-D

More information

Simplified Model of Interconnect Layers under a Spiral Inductor

Simplified Model of Interconnect Layers under a Spiral Inductor 337 Simplified Model of Interconnect Layers under a Spiral Inductor Sonia M. Holik, Timothy D. Drysdale, Electronics Design Centre, Division of Electronics and Nanoscale Engineering, School of Engineering,

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

PAPER A Fast Delay Computation for the Hybrid Structured Clock Network

PAPER A Fast Delay Computation for the Hybrid Structured Clock Network 1964 PAPER A Fast Delay Computation for the Hybrid Structured Clock Network Yi ZOU a), Student Member, Yici CAI b), Qiang ZHOU c), Xianlong HONG d), and Sheldon X.-D. TAN e), Nonmembers SUMMARY This paper

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction

A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction Yu-Min Lee Electrical and Computer Engineering University of Wisconsin at Madison Charlie Chung-Ping Chen

More information

Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method

Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method A. Müsing and J. W. Kolar Power Electronic Systems Laboratory, ETH Zürich CH-8092 Zürich, Switzerland

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Mutual Inductance Extraction and the Dipole Approximation

Mutual Inductance Extraction and the Dipole Approximation Mutual Inductance Extraction and the Dipole Approximation Rafael Escovar Λ Salvador Ortiz Λ Roberto Suaya Λ ABSTRACT The present work is centered in the controversy between two approaches to inductance

More information

A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures

A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures Kuangya Zhai 1, Qingqing Zhang 1,2, Li Li 3, Wenjian Yu 1 1 Tsinghua National Laboratory for Information Science

More information

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction 2E-1 Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction Zhenyu Qi, Sheldon X.-D. Tan Department of Electrical Engineering, University of California, Riverside, CA

More information

Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids

Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids Mikhail Popovich Eby G. Friedman Dept. of Electrical and Computer Engineering University of Rochester Rochester,

More information

Name: Class: Date: Multiple Choice Identify the letter of the choice that best completes the statement or answers the question.

Name: Class: Date: Multiple Choice Identify the letter of the choice that best completes the statement or answers the question. Name: Class: Date: AP REVIEW 4 Multiple Choice Identify the letter of the choice that best completes the statement or answers the question. 1. If a positively charged glass rod is used to charge a metal

More information

SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits

SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits Saisanthosh Balakrishnan and Charlie C.-P. Chen Department of Electrical and Computer Engineering,

More information

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses Window-Based Susceptance Models for arge-scale R ircuit Analyses Hui Zheng, Byron Krauter 2, Michael Beattie, awrence Pileggi ABSTRAT Due to the increasing operating frequencies and the manner in which

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Zhigang Hao, Sheldon X.-D. Tan, E. Tlelo-Cuautle, Jacob Relles, Chao Hu, Wenjian Yu, Yici Cai & Guoyong Shi

Zhigang Hao, Sheldon X.-D. Tan, E. Tlelo-Cuautle, Jacob Relles, Chao Hu, Wenjian Yu, Yici Cai & Guoyong Shi Statistical extraction and modeling of inductance considering spatial correlation Zhigang Hao, Sheldon X.-D. Tan, E. Tlelo-Cuautle, Jacob Relles, Chao Hu, Wenjian Yu, Yici Cai & Guoyong Shi Analog Integrated

More information

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement Wuxi Li, Meng Li, Jiajun Wang, and David Z. Pan University of Texas at Austin wuxili@utexas.edu November 14, 2017 UT DA Wuxi Li

More information

IN the past, circuit delay has been due mostly to transistors.

IN the past, circuit delay has been due mostly to transistors. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 3, MARCH 1998 449 Investigation of Interconnect Capacitance Characterization Using Charge-Based Capacitance Measurement (CBCM) Technique and Three-Dimensional

More information

FREQUENCY DEPENDENT CHARACTERISTICS OF GROUNDING SYSTEM BURIED IN MULTILAYERED EARTH MODEL BASED ON QUASI-STATIC ELECTRO- MAGNETIC FIELD THEORY

FREQUENCY DEPENDENT CHARACTERISTICS OF GROUNDING SYSTEM BURIED IN MULTILAYERED EARTH MODEL BASED ON QUASI-STATIC ELECTRO- MAGNETIC FIELD THEORY Progress In Electromagnetics Research M, Vol. 33, 169 183, 2013 FREQUENCY DEPENDENT CHARACTERISTICS OF GROUNDING SYSTEM BURIED IN MULTILAYERED EARTH MODEL BASED ON QUASI-STATIC ELECTRO- MAGNETIC FIELD

More information

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Nano-scale Integrated Circuit and System (NICS) Laboratory Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Xiaoming Chen PhD Candidate Department of Electronic Engineering Tsinghua University,

More information

A Capacitance Solver for Incremental Variation-Aware Extraction

A Capacitance Solver for Incremental Variation-Aware Extraction A Capacitance Solver for Incremental Variation-Aware Extraction Tarek A. El-Moselhy Research Lab in Electronics Massachusetts Institute of Technology tmoselhy@mit.edu Ibrahim M. Elfadel Systems & Technology

More information

Chapter 2 Basic Field-Solver Techniques for RC Extraction

Chapter 2 Basic Field-Solver Techniques for RC Extraction Chapter 2 Basic Field-Solver Techniques for RC Extraction Because 3-D numerical methods accurately model the realistic geometry, they possess the highest precision. The field solver based on 3-D numerical

More information

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1

Website:  vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1 ECE260B CSE241A Winter 2005 Parasitic Extraction Website: / courses/ ece260bw05 ECE 260B CSE 241A Parasitic Extraction 1 Conventional Design Flow Funct. Spec RTL Behav. Simul. Logic Synth. Stat. Wire Model

More information

Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction

Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction Hao Yu and Lei He Electrical Engineering Department University of California, Los Angeles 90095 fhy55, lheg@eeuclaedu Abstract To

More information

Basic Electronics. Introductory Lecture Course for. Technology and Instrumentation in Particle Physics Chicago, Illinois June 9-14, 2011

Basic Electronics. Introductory Lecture Course for. Technology and Instrumentation in Particle Physics Chicago, Illinois June 9-14, 2011 Basic Electronics Introductory Lecture Course for Technology and Instrumentation in Particle Physics 2011 Chicago, Illinois June 9-14, 2011 Presented By Gary Drake Argonne National Laboratory drake@anl.gov

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters

Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters Parallel-Distributed Time-Domain Circuit Simulation of Power Distribution Networks with Frequency-Dependent Parameters Takayuki WATANABE Yuichi TANJI Hidemasa KUBOTA Hideki ASAI School of Administration

More information

Unit 2: Modeling in the Frequency Domain. Unit 2, Part 4: Modeling Electrical Systems. First Example: Via DE. Resistors, Inductors, and Capacitors

Unit 2: Modeling in the Frequency Domain. Unit 2, Part 4: Modeling Electrical Systems. First Example: Via DE. Resistors, Inductors, and Capacitors Unit 2: Modeling in the Frequency Domain Part 4: Modeling Electrical Systems Engineering 582: Control Systems I Faculty of Engineering & Applied Science Memorial University of Newfoundland January 20,

More information

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

TRANSMISSION LINES. All aluminum alloy conductor (AAAC) Aluminum conductor alloy reinforced (ACAR)

TRANSMISSION LINES. All aluminum alloy conductor (AAAC) Aluminum conductor alloy reinforced (ACAR) TRANSMISSION LINES. Transmission Structures An overhead transmission line consists of conductor, insulators, support structures and in most cases shield wires. Overhead power transmission lines are classified

More information

Do not fill out the information below until instructed to do so! Name: Signature: Section Number:

Do not fill out the information below until instructed to do so! Name: Signature:   Section Number: Do not fill out the information below until instructed to do so! Name: Signature: E-mail: Section Number: No calculators are allowed in the test. Be sure to put a box around your final answers and clearly

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information

AS VERY large scale integration (VLSI) technology advances

AS VERY large scale integration (VLSI) technology advances 1496 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 8, AUGUST 2006 Wideband Passive Multiport Model Order Reduction and Realization of RLCM Circuits Zhenyu

More information

Electricity and Light Pre Lab Questions

Electricity and Light Pre Lab Questions Electricity and Light Pre Lab Questions The pre lab questions can be answered by reading the theory and procedure for the related lab. You are strongly encouraged to answers these questions on your own.

More information

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs ASP-DAC 2006 Session 8C-5: Inductive Issues in Power Grids and Packages Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs Authors: Brock J. LaMeres Agilent Technologies Kanupriya

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 12, DECEMBER 2015 1977 Fast Random Walk Based Capacitance Extraction for the 3-D IC Structures With Cylindrical

More information

QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34)

QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34) QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34) NOTE: FOR NUMERICAL PROBLEMS FOR ALL UNITS EXCEPT UNIT 5 REFER THE E-BOOK ENGINEERING CIRCUIT ANALYSIS, 7 th EDITION HAYT AND KIMMERLY. PAGE NUMBERS OF

More information

Mutual Inductance. The field lines flow from a + charge to a - change

Mutual Inductance. The field lines flow from a + charge to a - change Capacitors Mutual Inductance Since electrical charges do exist, electric field lines have a starting point and an ending point. For example, if you have a + and a - change, the field lines would look something

More information

Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package

Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package Boundary Element Methods for Capacitance and Substrate Resistance Calculations in a VLSI Layout Verification Package Proceedings of the Electrosoft 93 1, july 6-8, 1993, Southampton, U.K. T. Smedes, N.P.

More information

High Speed Communication Circuits and Systems Lecture 4 Generalized Reflection Coefficient, Smith Chart, Integrated Passive Components

High Speed Communication Circuits and Systems Lecture 4 Generalized Reflection Coefficient, Smith Chart, Integrated Passive Components High Speed Communication Circuits and Systems Lecture 4 Generalized Reflection Coefficient, Smith Chart, Integrated Passive Components Michael H. Perrott February 11, 2004 Copyright 2004 by Michael H.

More information

Modeling frequency-dependent conductor losses and dispersion in serial data channel interconnects

Modeling frequency-dependent conductor losses and dispersion in serial data channel interconnects Modeling frequency-dependent conductor losses and dispersion in serial data channel interconnects Yuriy Shlepnev Simberian Inc., www.simberian.com Abstract: Models of transmission lines and transitions

More information

Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines

Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines Progress In Electromagnetics Research Symposium 2006, Cambridge, USA, March 26-29 115 Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines L. Zhang and J. M. Song Iowa

More information

PHY 131 Review Session Fall 2015 PART 1:

PHY 131 Review Session Fall 2015 PART 1: PHY 131 Review Session Fall 2015 PART 1: 1. Consider the electric field from a point charge. As you move farther away from the point charge, the electric field decreases at a rate of 1/r 2 with r being

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

1556 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 11, NOVEMBER 2010

1556 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 11, NOVEMBER 2010 1556 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 18, NO 11, NOVEMBER 2010 Variational Capacitance Extraction and Modeling Based on Orthogonal Polynomial Method Ruijing Shen, Student

More information

ELECTRO MAGNETIC INDUCTION

ELECTRO MAGNETIC INDUCTION ELECTRO MAGNETIC INDUCTION 1) A Circular coil is placed near a current carrying conductor. The induced current is anti clock wise when the coil is, 1. Stationary 2. Moved away from the conductor 3. Moved

More information

On Optimal Physical Synthesis of Sleep Transistors

On Optimal Physical Synthesis of Sleep Transistors On Optimal Physical Synthesis of Sleep Transistors Changbo Long, Jinjun Xiong and Lei He {longchb, jinjun, lhe}@ee.ucla.edu EE department, University of California, Los Angeles, CA, 90095 ABSTRACT Considering

More information

Lecture 7: Transistors and Amplifiers

Lecture 7: Transistors and Amplifiers Lecture 7: Transistors and Amplifiers Hybrid Transistor Model for small AC : The previous model for a transistor used one parameter (β, the current gain) to describe the transistor. doesn't explain many

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Preamplifier in 0.5µm CMOS

Preamplifier in 0.5µm CMOS A 2.125 Gbaud 1.6kΩ Transimpedance Preamplifier in 0.5µm CMOS Sunderarajan S. Mohan Thomas H. Lee Center for Integrated Systems Stanford University OUTLINE Motivation Shunt-peaked Amplifier Inductor Modeling

More information

Second-Order Balanced Truncation for Passive Order Reduction of RLCK Circuits

Second-Order Balanced Truncation for Passive Order Reduction of RLCK Circuits IEEE RANSACIONS ON CIRCUIS AND SYSEMS II, VOL XX, NO. XX, MONH X Second-Order Balanced runcation for Passive Order Reduction of RLCK Circuits Boyuan Yan, Student Member, IEEE, Sheldon X.-D. an, Senior

More information

Module 2. DC Circuit. Version 2 EE IIT, Kharagpur

Module 2. DC Circuit. Version 2 EE IIT, Kharagpur Module DC Circuit Lesson 4 Loop Analysis of resistive circuit in the context of dc voltages and currents Objectives Meaning of circuit analysis; distinguish between the terms mesh and loop. To provide

More information

Electromagnetics in COMSOL Multiphysics is extended by add-on Modules

Electromagnetics in COMSOL Multiphysics is extended by add-on Modules AC/DC Module Electromagnetics in COMSOL Multiphysics is extended by add-on Modules 1) Start Here 2) Add Modules based upon your needs 3) Additional Modules extend the physics you can address 4) Interface

More information

Agilent EEsof EDA.

Agilent EEsof EDA. Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF EEE PART A. 1. Define mutual inductance and self inductance. (A/M-15)

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF EEE PART A. 1. Define mutual inductance and self inductance. (A/M-15) DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF EEE EE6302-ELECTROMAGNETIC THEORY UNIT 4 PART A 1. Define mutual inductance and self inductance. (A/M-15) Self inductance is the ration between the induced

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s

Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s M.V. Ugryumova and W.H.A. Schilders Abstract The super node algorithm performs model order reduction based on physical principles.

More information

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis PEERAWUT YUTTHAGOWITH Department of Electrical Engineering, Faculty of Engineering King Mongkut s Institute

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

THERMAL ANALYSIS & OPTIMIZATION OF A 3 DIMENSIONAL HETEROGENEOUS STRUCTURE

THERMAL ANALYSIS & OPTIMIZATION OF A 3 DIMENSIONAL HETEROGENEOUS STRUCTURE THERMAL ANALYSIS & OPTIMIZATION OF A 3 DIMENSIONAL HETEROGENEOUS STRUCTURE Ramya Menon C. 1 and Vinod Pangracious 2 1 Department of Electronics & Communication Engineering, Sahrdaya College of Engineering

More information

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then:

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then: Amdahl's Law Useful for evaluating the impact of a change. (A general observation.) Insight: Improving a feature cannot improve performance beyond the use of the feature Suppose we introduce a particular

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

Mutual Inductance: This is the magnetic flux coupling of 2 coils where the current in one coil causes a voltage to be induced in the other coil.

Mutual Inductance: This is the magnetic flux coupling of 2 coils where the current in one coil causes a voltage to be induced in the other coil. agnetically Coupled Circuits utual Inductance: This is the magnetic flux coupling of coils where the current in one coil causes a voltage to be induced in the other coil. st I d like to emphasize that

More information

Influence of Grounding Material s Property on the Impulse Grounding Resistance of Grounding Grids

Influence of Grounding Material s Property on the Impulse Grounding Resistance of Grounding Grids International Conference on Electrical, Electronics and Mechatronics (ICEEM 2015) Influence of Grounding Material s Property on the Impulse Grounding Resistance of Grounding Grids Leishi Xiao1, Qian Li1

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models

Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models Christof Kaufmann 25th March 2010 Abstract Nowadays very-large scale integrated circuits contain a large

More information

PRESENT advanced microprocessor designs rely heavily

PRESENT advanced microprocessor designs rely heavily IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 28, NO. 1, FEBRUARY 2005 57 Experimental Validation of Crosstalk Simulations for On-Chip Interconnects Using S-Parameters Mauro J. Kobrinsky, Sourav Chakravarty,

More information

Electrical Circuits Lab Series RC Circuit Phasor Diagram

Electrical Circuits Lab Series RC Circuit Phasor Diagram Electrical Circuits Lab. 0903219 Series RC Circuit Phasor Diagram - Simple steps to draw phasor diagram of a series RC circuit without memorizing: * Start with the quantity (voltage or current) that is

More information

Circuit Analysis I (ENGR 2405) Chapter 1 Review: Charge, Current, Voltage, Power

Circuit Analysis I (ENGR 2405) Chapter 1 Review: Charge, Current, Voltage, Power Circuit Analysis I (ENGR 2405) Chapter 1 Review: Charge, Current, Voltage, Power What is a circuit? An electric circuit is an interconnection of electrical elements. It may consist of only two elements

More information

Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures

Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures Zhenhai Zhu, Jingfang Huang, Ben Song, Jacob White Department of Electrical Engineering and

More information

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines.  Where transmission lines arise?  Lossless Transmission Line. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 24: April 19, 2018 Crosstalk and Wiring, Transmission Lines Lecture Outline! Crosstalk! Repeaters in Wiring! Transmission Lines " Where transmission

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information