How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K

Size: px
Start display at page:

Download "How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K"

Transcription

1 How to Efficientl Capture On-Chip Inductance Effects: Introducing a New Circuit Element K Anirudh Devgan Hao Ji Wane Dai IBM Microelectronics UC Santa Cruz CE Dept. UC Santa Cruz CE Dept. Austin, TX 5 Santa Cruz, CA 95 Santa Cruz, CA 95 devgan@us.ibm.com hji@cse.ucsc.edu dai@cse.ucsc.edu Abstract On-chip inductance etraction and analsis is becoming increasing critical. Inductance etraction can be difficult, cumbersome and impractical on large designs as inductance depends on the current return path which is tpicall unknown prior to etracting and simulating the circuit model. In this paper, we propose a new circuit element, K, to model inductance effects, at the same time being easier to etract and analze. K is defined as inverse of partial inductance matri L, and has localit and sparsit normall associated with a capacitance matri. We propose to capture inductance effects b directl etracting and simulating K, instead of partial inductance, leading to much more efficient procedure which is amenable to full chip etraction. This proposed approach has been verified through several simulation results. Introduction Increasing clock speeds, die sizes, and power dissipations have driven VLSI manufacturers to abandon the simple scaling approach of interconnect wiring. Instead, the emplo a hierarch of metal wiring levels. Thinner wiring levels are used at the circuit level where densit is required, and thicker laers at the top or global levels in order to route low-skew clock trees, low-loss power distribution buses, and the fastest signal interconnects. This trend, coupled with the recent introduction of copper wiring (because its resistivit is approimatel half that of aluminum wiring) has made on-chip inductance modeling necessar for clocks and the fastest signal interconnects. Inductance etraction is difficult because mutual inductance depends on the current return path which is unknown prior to etracting and simulating a circuit model. Rosa introduced the concept of partial inductances to avoid this difficult b assuming that each segment has a return current at infinit []. Ruehli introduced partial inductance to modern ICs and proposed the PEEC (Partial Equivalent Element Circuits) model to handle general three dimensional interconnects [, ]. Kamon, et al more recentl de- This work was supported, in part, b IBM Corporation and Ultima Interconnect Technolog, Inc. veloped the algorithm, FastHenr [5], to solve for effective inductance from partial inductances with multi-pole acceleration. Nonetheless, the partial inductance approach, which assigns portions of the loop inductances to segments along the loop, results in a large, densel-coupled network representation, which makes subsequent circuit simulation practical onl for small eamples. Moreover, unlike capacitance matrices which can be truncated to represent onl localized couplings, simpl discarding distant mutual inductances can result in an unstable equivalent circuit model (positive poles) []. As an alternative to simple truncation, a shift-truncate potential method was proposed b Krauter, et al [, ]. This shift-truncate potential method assumes that segment currents return at a finite radius r, instead of infinit. Therefore, segments spaced more than r apart have no inductive coupling. This technique can guarantee to generate positive definite sparse approimations of the original partial inductance matri. Nevertheless, to determine a proper value of r to ensure a desired accurac involves complicated schemes and iterations. Moreover, this approach does not work well for long wires. Shepard, et al proposed the concept of return-limited loop inductance to sparsif the partial inductance matri []. It is based on the assumption that the currents of signal lines return within the region enclosed b the nearest same-direction power-ground lines. However, this ma not be true when power-ground lines are of same order of dimensions as signal lines. Recentl, Lin developed the mutual inductance screening rule [9]. Since this rule basicall discards the consideration of circuit topolog, it cannot be applied to some comple interconnect topolog, such as mesh ground plane []. Thus, unlike capacitance etraction, where onl the nearest neighbor conductors need to be considered, in inductance etraction, a large number of conductors are involved. Techniques used in capacitance etraction, such as librar construction and analtical formulas cannot be applied to inductance etraction. However, although C matri is sparse, the inverse of C has been observed to be dense. We speculated that if L is dense, then the inverse of L ma be sparse. In this paper, we introduce a new circuit element to represent inductance

2 effect, while still preserve localit for large sstems. This new circuit element, K, is basicall the inverse of partial inductance. Therefore, we proposed to capture on-chip inductance effect b directl etracting and simulating K, instead of partial inductance. Since K has localit, we onl need to consider a small number of neighbors. As the result, the K matri for circuit simulation is ver sparse. Thus it can save a great amount of CPU time and memor usage when capturing on-chip inductance effect. Moreover, we can further construct libraries or analtical formulas for K,which will enable this K-based method to be a practical one to predict and capture inductance effect for the whole chip. This new concept has been verified b the simulation results of practical eamples. Partial Inductance Since our proposed K is defined as the inverse of the partial inductance, we begin with a brief review of partial inductance. It s well known that inductance is a propert of closed loops. Since for on-chip interconnects, the induced current return paths are unknown, the prevailing inductance models are built on partial inductance concepts. Partial inductance are best understood in terms of the normalized magnetic vector potential drop along a conductor segment due to current in that, or another segment. Consider the two conductor segments, i and j, as shown in Fig.. A jj a b I j A ij c d Figure : Partial inductance associated with magnetic vector potential drop along the conductor segments. Both segment loops are assumed to close at infinit. The partial inductance L ij between segment i and j is given b h R i ai ai Rlj A ij dl i da i L ij = () I j where A ij is the magnetic vector potential along segment i due to the current I j in segment j. Segmenti has a cross section a j. In magneto-statics, the relationship between the magnetic vector potential A ij and the current I j is given b " Z Z # A ij = I j dl j da j () a j aj lj r ij where r ij is the geometric distance between two points in segment i and j. Substitute Eq. () into Eq. (), we can get " Z Z Z Z # L ij = dl i dl j da i da j () a i a j r ij ai aj li The partial inductance matri for a set of n conductors is an nn real smmetric matri. The corresponding linear sstem is given b L L L L.. L nn I.. 5 I n lj 5 = np a i= np i= an R Ai dlda.. R Ani dl n da n From Eq. (), we can see that the partial inductance L ij onl depends on the relative position and length of segment i and j, and is independent of the eistence of other conductors. That is to sa, the eistence of other conductors has no shielding effect on the inductive coupling between segment i and j, under this partial inductance definition. Furthermore, since the integral kernel of L ij is r ij, the off-diagonal elements in the partial inductance matri decrease ver slowl (at the order of log r ij ) with the increase of spacing r ij. Because of this long range inductive coupling effect for partial inductance of on-chip wires, capturing inductive couplings becomes much more difficult than capturing capacitive couplings, which is known to be local. Moreover, it is understood that making the matri sparse b merel discarding the smallest terms can render the matri indefinite and thereb introduce positive pole(s) in subsequent circuit simulations. Circuit Element K. Definition of K [K] is defined as inverse of partial inductance matri [L]. [K] =[L], (5) This definition originated from the well known relationship between capacitance and inductance for transmission line structures, () [L loop ]=[C], () where and are permittivit and permeabilit in free space, respectivel. [C] is the capacitance matri which would result if all dielectric laers were replaced b free space. This relationship inspired us that for structures other 5

3 than transmission lines, although the inverted inductance matri, [K] (or [L], ), is not proportional to capacitance matri, [C], [K] ma still have similar local propert as [C]. If this is true, then we can appl K etraction locall, and derive RKC equivalent circuit models, instead of RLC models to model the inductance effect. Here, we should emphasize that [L loop ] have complete different meaning with [L]. The element in [L loop ] is loop inductance, and it was calculated with a pre-defined ground return path. That is to sa, there is onl an (n, ) (n, ) [L loop ] matri for an n conductor sstem. While the element in L matri is partial inductance, and it was assumed all current return in infinit. For an n conductor sstem, an nnlmatri is obtained. Therefore,although, the K matri has similar localit as the C matri, it is not related to the capacitance matri.. Localit of K The following eample demonstrate the localit of K matri. Consider a laout eample with five parallel buses, shown in Fig.. The length of all buses is m, the cross section is m, and the spacing between the buses is 5 m. h w l s 5 Figure : Laout Eample with 5 Parallel Buses We calculated the partial inductance matri, L, using FastHenr [5], [L] = : : :5 :9 : : : : :5 :9 :5 : : : :5 :9 :5 : : : : :9 :5 : : and then inverted L to get K matri. [K] = 5,:,:,:,:,:,:,:,:,:,: 5,:,:,:,:,:,:,:,:,:,: ph; () () From Eq. () and Eq. (), we can see that the partial mutual inductance L5 is./. or :% of the partial self inductance L, while jk5j is onl./ or :% of the self term K. Meanwhile, we also calculated the capacitance matri of the above structure shown in Fig. using FastCap []. [C] = 555,,:,:5,:9,,,:,:5,:,,,:9,:5,:,,,:9,:5,:9, H, Eperiment Results 5 pf (9) It can be observed the amazing similarit of the decreasing trend of the off-diagonal elements in both K and C matri. For eample, the absolute value of mutual capacitance jc5j is about.9/555or:% of the self capacitance C. That is to sa, the off-diagonal elements in K matri decrease faster than that of the partial inductance matri, and at a similar speed as that in capacitance matri, which we call K matri has localit. The phsical eplanation of this localit for K matri is provided in [].. K-based method Since K has localit, we onl need to consider a small number of conductors enclosed in small window when etracting K. Our approachcan be summarizedas follows. Calculate the partial inductance matri, L, of a small structure which is enclosed in a small window. Calculate the small K matri b inverting the corresponding L matri. Compose the big K all matri b the column in each small K matri, which is corresponding to the aggressor, like the techniques used in capacitance etraction. Simulate the subsequent RKC equivalent circuit. As we mentioned before, in order to be a practical approach, K-based method has to guarantee the stabilit of the subsequent RKC equivalent circuit. The proof of the stabilit abide b the following steps []: K matri in general is diagonal dominant. The sparse K all matri constructed b K-based method is still diagonal dominant. K all matri is positive definite. Therefore, the subsequent RKC equivalent circuit is stable. Due to space limitation, please reference [] for detailed proof. Therefore, for a large sstem, K-based method will generate a ver sparse and stable sstem in later circuit simulation. Thus it can save a great amount of CPU time and memor usage when capturing on-chip inductance effect. To simpl illustrate the accurac of K-based method, we still use the 5 parallel buses eample shown in Fig.. We calculate the loop inductance between bus and 5 with and without the coupling term K5. That is we calculate the loop inductance between bus and 5 associated with matri [K] and [K ], stated in Eq. and Eq., respectivel. Since directl calculating loop inductance using K

4 matri involves complicated calculation, we use the corresponding partial inductance matrices, [L] and [L ], stated in Eq. and Eq., respectivel. [K ]= [L ]=,:,:,:,:,:,:,:,:,: 5,:,:,:,:,:,:,:,:,: : : : : :9 : : : : : : : : : : : : : : : :9 : : : : 5 ph; () () As we all know, L loop5 = L + L55, L5, L5. With the coupling term K5, wegetl loop5 = (:, :) = :ph. Without the coupling term K5, we get L loop5 = (:, :9) = :ph, whichis.9% more than the eact value L loop5. However, if we approimate L loop5 b directl ignoring L5 in [L] matri, we will get L loop5 =: =:ph, which is.% overestimation, a much larger error compared to K-base method. Net, consider the two power planes depicted in Fig.. This is the same eample presented in Fig. 5 of []. When power plane inductance and K matri are modeled, power planes such as these are meshed into separate and conductor segments. (Even solid power planes are meshed into separate and conductors.) Because orthogonal conductors do not couple magneticall, the resulting inductance matri and K matri are block diagonal matrices. plane thickness =.5 mm meshed into equal mm square segments along the direction, and uniform current flow was assumed along all segments (FastHenr parameters nhinc and nwinc were set to one). Firstl, to visualize the decrease speed of the off- 5 9 H, diagonal elements in L matri and K matri, we plotted the normalized mutual couplings between the left-bottom most segment and other segments in the same power plane with respect to the self term of the left-bottom most segment for both L and K matri, depicted in Fig. and Fig. 5, respectivel. We observed that the off-diagonal elements in K matri decrease much faster than those of the partial inductance matri, which again illustrated the localit of K matri compared to L matri. Since K has localit, we onl need to consider a small number of conductors enclosed in small window when etracting K. Normalized mutual L i vs. L.... Figure : Normalized mutual couplings between the leftbottom most segment and other segments in the same power plane with respect to the self term of the left-bottom most segment for L matri z cm cm. mm Figure : Two Power Planes for Inductance Effect Modeling Normalized mutual L i vs. L.... To compare our approach with the conventional and the shift-truncate method in [], we calculate the eigenvalues of partial inductance matri in the conventional and the shift-truncate method b strictl following Krauter s paper []. That is, for the two planes depicted in Fig., we created, using FastHenr [5], a partial inductance matri to model the magnetic coupling in the direction. Each plane was Figure 5: Normalized mutual couplings between the leftbottom most segment and other segments in the same power plane with respect to the self term of the left-bottom most segment for K matri

5 Secondl, from this FastHenr partial inductance matri, we created two sparse approimations of the full matri. The first approimation was formed using the shifttruncate procedure. That is, we set the current return radius r equal to mm, and when the result was negative, the matri term was set to zero. The projection on - coordinate of the small representing structure enclosed in the current return shell is shown in Fig.. cm also betheinverseof thoseof L matri. For better illustration, we plotted the inverse of K s eigenvalues in Fig.. In observing Fig., note that the approach of simpl discarding the smallest terms in the inductance matri, ields both an inaccurate and an unstable approimation as it fails to match the eigenvalues of the full matri at both etremes and in the middle. Although the smallest eigenvalues of the shift-truncate method match those of the full L matri, and shows the same discontinuous jump between the th and st eigenvalue, there are significant difference for larger eigenvalues between the shift-truncate method and the full matri. r cm e-.5e- full L matri sparse K matri current return shell Figure : The Representing Structure in Modeling the Two Power Planes eigenvalues (H) e- 5e-9 The second sparse approimation was formed b discarding all mutual inductances less than.5 nh. In both cases,, of the total, matri terms were set to zero, (i.e. both approimations were > 95% sparse). Finall, the eigenvalues of the full matri and the two sparse approimations were computed. The eigenvalues for each matri are plotted in Fig.. e- -5e-9 eigenvalue # Figure : Eigenvalues for Full L matri and 95% Sparse K Matri Modeling the Two Power Planes in Fig. eigenvalues (H).5e- e- 5e-9 full L matri truncation onl shift-truncation -5e-9 eigenvalue # Figure : Eigenvalues for Full and 95% Sparse L Matrices Modeling the Two Power Planes in Fig. In our K-based approach, we calculated the K matri of the conductor segments included in the small window as those enclosed in the current return shell in shift-truncate method to ensure same sparsit. Therefore, the whole K sstem has eactl same sparsit (> 95%) as those in shifttruncate and truncation onl method. Since K matri is the inverse of L matri, the eigenvalues of K matri should Fig. shows the ecellent match of the eigenvalues between sparse K matri and full L matri. Finall, to compare the effects of full inductance matri and sparse K matri in circuit simulations, we choose a structure presented in Fig. (b) of Krauter s paper []. The circuit is illustrated in Fig. 9. We deliberatel chose this circuit topolog because the current loops were larger than that in Fig. (a) of Krauter s paper []. Thus, it will be more obvious whether or not the K-based method can capture enough mutual inductance coupling. In this structure, each conductor has cross section of m square, d =m, d =m, and d = m. Each conductor was broken into fort equal segments in order to create a large et illustrative partial inductance matri. To make the inductive effects dominate, R s and R t were set to and ohms, and a rise time (.ns) was emploed to simulate the frequenc of on-chip interconnect application. In our approach, the window size was assumed to include at most segments of each conductor. The sparsit of the resulted K matri is about 9.%. The circuit simulation is performed b Ksim [], which simulates RKC equivalent circuit, instead of RLC. The simulation results is shown in Fig.. We can see good agreement in terms

6 R s d d Figure 9: Circuit Eample same as Fig. from Krauter s paper[] of circuit simulation results between the full L matri and the sparse K matri. V_ (V).... d Simulation results of V_ for full matri and sparse matrices modeling the circuit with conductors. input full L matri K-based method time (ns) Figure : Simulation Results for Circuit Eample in Fig. 9 5 Conclusion Partial inductance are etremel useful in modeling circuit inductances when the induced current loops are unknown. Unfortunatel, these matrices are dense and def conventional simplifications (i.e. the smallest matri cannot be indiscriminatel discarded). In this paper, we introduce a new circuit element, K, as the inverse of partial inductance. We found out that K is capable of capturing inductance effect, while still preserve localit. Since K matri is a sparse diagonall dominant matri, we onl need to consider a small number of neighbors. Therefore, we proposed to capture on-chip inductance effect b directl etracting and simulating K, instead of partial inductance. As the result, the K matri for circuit simulation is ver sparse. Thus it can save a great amount of CPU time and memor usage when capturing on-chip inductance effect. This new concept has been verified b the simulation results of practical eamples, and showed remarkable accurac over other sparsification techniques, such as the shift-truncate method and R t truncation onl method. We further understand the phsical meaning of K and the reason that K has local propert []. Most importantl, it can be proved that the sparse sstem matri constructed b ignoring far awa mutual K is positive definite []. Therefore, we can later construct libraries or analtical formulasfor K, which will enable this K-based methodto be a practical one to predict and capture inductance effect for the whole chip. References [] H. Ji, A. Devgan, and W. Dai, Ksim: A stable and efficient RKC simulator for capturing on-chip inductance effect, UCSC Technique Report, UCSC-CRL- -, Apr.. [] E. Rosa, The self and mutual inductance of linear conductors, in Bulletin of the National Bureau of Standars, pp., 9. [] A. Ruehli, Inductance calculations in a comple integrated circuit environment, IBM Journal of Research and Development, pp., Sept. 9. [] A. Ruehli, Equivalent circuit models for threedimensional multiconductor sstems, IEEE Trans. on MTT, pp., Mar. 9. [5] M. Kamon, M. J. Tsuk, and J. K. White, FAS- THENRY: A multipole-accelerated -D inductance etraction program, IEEE Trans. on MTT, pp., Sept. 99. [] Z. He, M. Celik, and L. Pileggi, SPIE: Sparse partial inductance etraction, in Proc. -th Design Automation Conference, pp., June 99. [] B. Krauter and L. Pileggi, Generating sparse partial inductance matries with guaranteed stabilit, in Proc. IEEE International Conference on Computer Aided Design, pp. 5 5, Nov [] K. L. Shepard and Z. Tian, Return-limited inductances: A practical approach to on-chip inductance etraction, in Proc. IEEE Custom Integrated Circuits Conference, pp. 5 5, 999. [9] S. Lin, N. Chang, and S. Nakagawa, Quick on-chip self- and mutual-inductance screen, in Proc. IEEE st International Smposium on Qualit Electronic Design, pp. 5 5, Mar.. [] K. Nabors and J. K. White, FastCap: a multipole accelerated -D capacitance etraction program, IEEE Trans. on CAD, pp. 59, Nov. 99.

KSim: A Stable and Efficient RKC Simulator for Capturing On-Chip Inductance Effect

KSim: A Stable and Efficient RKC Simulator for Capturing On-Chip Inductance Effect KSim: A Stable and Efficient KC Simulator for Capturing OnChip Inductance Effect Hao Ji Anirudh Devgan Wayne Dai UC Santa Cruz CE Dept IBM Microelectronics UC Santa Cruz CE Dept Santa Cruz, CA 90 Austin,

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 43.2 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu EE Department, UCLA Los Angeles, CA 90095 Lei He EE Department, UCLA Los Angeles, CA 90095 ABSTRACT The geometry-integration based

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 1 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu, Student Member, IEEE, Lei He, Member, IEEE Abstract The geometry-integration based vector potential equivalent circuit (VPEC) was introduced

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses Window-Based Susceptance Models for arge-scale R ircuit Analyses Hui Zheng, Byron Krauter 2, Michael Beattie, awrence Pileggi ABSTRAT Due to the increasing operating frequencies and the manner in which

More information

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor 884 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 7, JULY 2003 INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor Tsung-Hao Chen, Clement Luk,

More information

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 49 Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method Haitian Hu, Member, IEEE,

More information

THE boundary-element, or method-of-moments [1], technique

THE boundary-element, or method-of-moments [1], technique 18 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL 47, NO 1, JANUARY 1999 Capacitance Extraction of 3-D Conductor Systems in Dielectric Media with High-Permittivity Ratios Johannes Tausch and

More information

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor

INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor INDUCTWISE: Inductance-Wise Interconnect Simulator and Extractor Tsung-Hao Chen, Clement Luk, Hyungsuk Kim, Charlie Chung-Ping Chen { tchen, lukc, hyungsuk }@cae.wisc.edu, chen@engr.wisc.edu Electrical

More information

Fa st Inductance and Re sistance Extraction of 32D VLSI Interconnects Ba sed on the Method of K Element

Fa st Inductance and Re sistance Extraction of 32D VLSI Interconnects Ba sed on the Method of K Element 8 2005 8 ACTA ELECTRONICA SINICA Vol. 33 No. 8 Aug. 2005 K,,, (, 100084) : GHz,, K ( ),,,,, FastHenry : ; ; K ; ; : TN47 : A : 037222112 (2005) 0821365205 Fa st Inductance and Re sistance Extraction of

More information

Hierarchical Interconnect Circuit Models

Hierarchical Interconnect Circuit Models Hierarchical Interconnect Circuit Models Michael Beattie, Satrat Gupta and Lawrence Pileggi Carnegie Mellon University Department of Electrical and Computer Engineering 5 Forbes ve. Pittsburgh, P 15213

More information

A Solenoidal Basis Method For Efficient Inductance Extraction Λ

A Solenoidal Basis Method For Efficient Inductance Extraction Λ A Solenoidal Basis Method For Efficient Inductance Extraction Λ Hemant Mahawar Department of Computer Science Texas A&M University College Station, TX 77843 mahawarh@cs.tamu.edu Vivek Sarin Department

More information

Strain Transformation and Rosette Gage Theory

Strain Transformation and Rosette Gage Theory Strain Transformation and Rosette Gage Theor It is often desired to measure the full state of strain on the surface of a part, that is to measure not onl the two etensional strains, and, but also the shear

More information

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30 ANSOFT Q3D TRANING Introduction HFSS 3D EM Analysis S-parameter Q3D R/L/C/G Extraction Model 0-5 -10 magnitude [db] -15-20 -25-30 S11 S21-35 0 1 2 3 4 5 6 7 8 9 10 Frequency [GHz] Quasi-static or full-wave

More information

Fast Simulation of VLSI Interconnects

Fast Simulation of VLSI Interconnects Fast Simulation of VLSI Interconnects Jitesh Jain, Cheng-Kok Koh, and Venkataramanan Balakrishnan School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 4797-1285 {jjain,chengkok,ragu}@ecn.purdue.edu

More information

1.1 The Equations of Motion

1.1 The Equations of Motion 1.1 The Equations of Motion In Book I, balance of forces and moments acting on an component was enforced in order to ensure that the component was in equilibrium. Here, allowance is made for stresses which

More information

Mathematics 309 Conic sections and their applicationsn. Chapter 2. Quadric figures. ai,j x i x j + b i x i + c =0. 1. Coordinate changes

Mathematics 309 Conic sections and their applicationsn. Chapter 2. Quadric figures. ai,j x i x j + b i x i + c =0. 1. Coordinate changes Mathematics 309 Conic sections and their applicationsn Chapter 2. Quadric figures In this chapter want to outline quickl how to decide what figure associated in 2D and 3D to quadratic equations look like.

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

ELEC4612 Power System Analysis Power Flow Analysis

ELEC4612 Power System Analysis Power Flow Analysis ELEC462 Power Sstem Analsis Power Flow Analsis Dr Jaashri Ravishankar jaashri.ravishankar@unsw.edu.au Busbars The meeting point of various components of a PS is called bus. The bus or busbar is a conductor

More information

*

* Quasi-Static Magnetic Field Shielding Using Longitudinal Mu-Near-Zero Metamaterials Gu Lipworth 1, Joshua Ensworth 2, Kushal Seetharam 1, Jae Seung Lee 3, Paul Schmalenberg 3, Tsuoshi Nomura 4, Matthew

More information

Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures

Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures Improving the Robustness of a Surface Integral Formulation for Wideband Impendance Extraction of 3D Structures Zhenhai Zhu, Jingfang Huang, Ben Song, Jacob White Department of Electrical Engineering and

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

Vibrational Power Flow Considerations Arising From Multi-Dimensional Isolators. Abstract

Vibrational Power Flow Considerations Arising From Multi-Dimensional Isolators. Abstract Vibrational Power Flow Considerations Arising From Multi-Dimensional Isolators Rajendra Singh and Seungbo Kim The Ohio State Universit Columbus, OH 4321-117, USA Abstract Much of the vibration isolation

More information

MATRIX TRANSFORMATIONS

MATRIX TRANSFORMATIONS CHAPTER 5. MATRIX TRANSFORMATIONS INSTITIÚID TEICNEOLAÍOCHTA CHEATHARLACH INSTITUTE OF TECHNOLOGY CARLOW MATRIX TRANSFORMATIONS Matri Transformations Definition Let A and B be sets. A function f : A B

More information

5.3.3 The general solution for plane waves incident on a layered halfspace. The general solution to the Helmholz equation in rectangular coordinates

5.3.3 The general solution for plane waves incident on a layered halfspace. The general solution to the Helmholz equation in rectangular coordinates 5.3.3 The general solution for plane waves incident on a laered halfspace The general solution to the elmhol equation in rectangular coordinates The vector propagation constant Vector relationships between

More information

Applications of Gauss-Radau and Gauss-Lobatto Numerical Integrations Over a Four Node Quadrilateral Finite Element

Applications of Gauss-Radau and Gauss-Lobatto Numerical Integrations Over a Four Node Quadrilateral Finite Element Avaiable online at www.banglaol.info angladesh J. Sci. Ind. Res. (), 77-86, 008 ANGLADESH JOURNAL OF SCIENTIFIC AND INDUSTRIAL RESEARCH CSIR E-mail: bsir07gmail.com Abstract Applications of Gauss-Radau

More information

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Michel Nakhla Carleton University Canada Model Reduction for Complex Dynamical Systems Berlin 2010 EMI Delay Crosstalk Reflection

More information

3-D Inductance and Resistance Extraction for Interconnects

3-D Inductance and Resistance Extraction for Interconnects 3-D Inductance and Resistance Extraction for Interconnects Shuzhou Fang, Liu Yang and Zeyi Wang Dept. of Computer Science & Technology Tsinghua University, Beijing 100084, China Aug. 20, 2002 Content PEEC

More information

Methods for Advanced Mathematics (C3) Coursework Numerical Methods

Methods for Advanced Mathematics (C3) Coursework Numerical Methods Woodhouse College 0 Page Introduction... 3 Terminolog... 3 Activit... 4 Wh use numerical methods?... Change of sign... Activit... 6 Interval Bisection... 7 Decimal Search... 8 Coursework Requirements on

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

Mutual Inductance Extraction and the Dipole Approximation

Mutual Inductance Extraction and the Dipole Approximation Mutual Inductance Extraction and the Dipole Approximation Rafael Escovar Λ Salvador Ortiz Λ Roberto Suaya Λ ABSTRACT The present work is centered in the controversy between two approaches to inductance

More information

Krylov Integration Factor Method on Sparse Grids for High Spatial Dimension Convection Diffusion Equations

Krylov Integration Factor Method on Sparse Grids for High Spatial Dimension Convection Diffusion Equations DOI.7/s95-6-6-7 Krlov Integration Factor Method on Sparse Grids for High Spatial Dimension Convection Diffusion Equations Dong Lu Yong-Tao Zhang Received: September 5 / Revised: 9 March 6 / Accepted: 8

More information

8.1 Exponents and Roots

8.1 Exponents and Roots Section 8. Eponents and Roots 75 8. Eponents and Roots Before defining the net famil of functions, the eponential functions, we will need to discuss eponent notation in detail. As we shall see, eponents

More information

COMPRESSIVE (CS) [1] is an emerging framework,

COMPRESSIVE (CS) [1] is an emerging framework, 1 An Arithmetic Coding Scheme for Blocked-based Compressive Sensing of Images Min Gao arxiv:1604.06983v1 [cs.it] Apr 2016 Abstract Differential pulse-code modulation (DPCM) is recentl coupled with uniform

More information

Symmetry Arguments and the Role They Play in Using Gauss Law

Symmetry Arguments and the Role They Play in Using Gauss Law Smmetr Arguments and the Role The la in Using Gauss Law K. M. Westerberg (9/2005) Smmetr plas a ver important role in science in general, and phsics in particular. Arguments based on smmetr can often simplif

More information

Simulation of Acoustic and Vibro-Acoustic Problems in LS-DYNA using Boundary Element Method

Simulation of Acoustic and Vibro-Acoustic Problems in LS-DYNA using Boundary Element Method 10 th International LS-DYNA Users Conference Simulation Technolog (2) Simulation of Acoustic and Vibro-Acoustic Problems in LS-DYNA using Boundar Element Method Yun Huang Livermore Software Technolog Corporation

More information

CONTINUOUS SPATIAL DATA ANALYSIS

CONTINUOUS SPATIAL DATA ANALYSIS CONTINUOUS SPATIAL DATA ANALSIS 1. Overview of Spatial Stochastic Processes The ke difference between continuous spatial data and point patterns is that there is now assumed to be a meaningful value, s

More information

2. Find a solution of y" 0. (15%) 4. Find the Fourier transform of the function. . (15%) Evaluate . (15%)

2. Find a solution of y 0. (15%) 4. Find the Fourier transform of the function. . (15%) Evaluate . (15%) 1. Let A be constant vector and R iˆ yj ˆ zkˆ. Calculate (a) ( R A) (b) ( R A) (c) ( R A). Find a solution of y" y' y 4e. Use the Matri Methods to solve the system as below. 1 1 4 7 4 4 5 5 4. Find the

More information

C. Non-linear Difference and Differential Equations: Linearization and Phase Diagram Technique

C. Non-linear Difference and Differential Equations: Linearization and Phase Diagram Technique C. Non-linear Difference and Differential Equations: Linearization and Phase Diaram Technique So far we have discussed methods of solvin linear difference and differential equations. Let us now discuss

More information

Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction

Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction Staggered Twisted-Bundle Interconnect for Crosstalk and Delay Reduction Hao Yu and Lei He Electrical Engineering Department University of California, Los Angeles 90095 fhy55, lheg@eeuclaedu Abstract To

More information

CH. 1 FUNDAMENTAL PRINCIPLES OF MECHANICS

CH. 1 FUNDAMENTAL PRINCIPLES OF MECHANICS 446.201 (Solid echanics) Professor Youn, eng Dong CH. 1 FUNDENTL PRINCIPLES OF ECHNICS Ch. 1 Fundamental Principles of echanics 1 / 14 446.201 (Solid echanics) Professor Youn, eng Dong 1.2 Generalied Procedure

More information

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis PEERAWUT YUTTHAGOWITH Department of Electrical Engineering, Faculty of Engineering King Mongkut s Institute

More information

Uncertainty and Parameter Space Analysis in Visualization -

Uncertainty and Parameter Space Analysis in Visualization - Uncertaint and Parameter Space Analsis in Visualiation - Session 4: Structural Uncertaint Analing the effect of uncertaint on the appearance of structures in scalar fields Rüdiger Westermann and Tobias

More information

Miniaturization of Electromagnetic Bandgap (EBG) Structures with High-permeability Magnetic Metal Sheet

Miniaturization of Electromagnetic Bandgap (EBG) Structures with High-permeability Magnetic Metal Sheet Miniaturization of Electromagnetic Bandgap (EBG) Structures with High-permeabilit Magnetic Metal Sheet Yoshitaka Toota, Kengo Iokibe, Ruji Koga Department of Communication Network Engineering, Okaama Universit,

More information

( ) ( ) ( ), ( 0 ), ( 0)

( ) ( ) ( ), ( 0 ), ( 0) . (a Find the eigenvalues and eigenfunctions of problem: (b The differential equation ( ( ( =, - =, =. (8% - - = has one basis solution =. Show that the other basis solution on the interval - < < is =

More information

I.3.5 Ringing. Ringing=Unwanted oscillations of voltage and/or current

I.3.5 Ringing. Ringing=Unwanted oscillations of voltage and/or current I.3.5 Ringing Ringing=Unwanted oscillations of voltage and/or current Ringing is caused b multiple reflections. The original wave is reflected at the load, this reflection then gets reflected back at the

More information

Periodic Structures in FDTD

Periodic Structures in FDTD EE 5303 Electromagnetic Analsis Using Finite Difference Time Domain Lecture #19 Periodic Structures in FDTD Lecture 19 These notes ma contain coprighted material obtained under fair use rules. Distribution

More information

The Control-Volume Finite-Difference Approximation to the Diffusion Equation

The Control-Volume Finite-Difference Approximation to the Diffusion Equation The Control-Volume Finite-Difference Approimation to the Diffusion Equation ME 448/548 Notes Gerald Recktenwald Portland State Universit Department of Mechanical Engineering gerr@mepdedu ME 448/548: D

More information

FACULTY OF MATHEMATICAL STUDIES MATHEMATICS FOR PART I ENGINEERING. Lectures AB = BA = I,

FACULTY OF MATHEMATICAL STUDIES MATHEMATICS FOR PART I ENGINEERING. Lectures AB = BA = I, FACULTY OF MATHEMATICAL STUDIES MATHEMATICS FOR PART I ENGINEERING Lectures MODULE 7 MATRICES II Inverse of a matri Sstems of linear equations Solution of sets of linear equations elimination methods 4

More information

Electromagnetic Modelling Process to Improve Cabling of Power Electronic Structures

Electromagnetic Modelling Process to Improve Cabling of Power Electronic Structures Electromagnetic Modelling Process to Improve Cabling of Power Electronic Structures J. Aimé (1, 2), E. Clavel (1), J. Roudet (1), G. Meunier (1), P. Loizelet (2) (1) G2Elab, Electrical Engineering laboratory

More information

J.TAUSCH AND J.WHITE 1. Capacitance Extraction of 3-D Conductor Systems in. Dielectric Media with high Permittivity Ratios

J.TAUSCH AND J.WHITE 1. Capacitance Extraction of 3-D Conductor Systems in. Dielectric Media with high Permittivity Ratios JTAUSCH AND JWHITE Capacitance Extraction of -D Conductor Systems in Dielectric Media with high Permittivity Ratios Johannes Tausch and Jacob White Abstract The recent development of fast algorithms for

More information

SECTION 8-7 De Moivre s Theorem. De Moivre s Theorem, n a Natural Number nth-roots of z

SECTION 8-7 De Moivre s Theorem. De Moivre s Theorem, n a Natural Number nth-roots of z 8-7 De Moivre s Theorem 635 B eactl; compute the modulus and argument for part C to two decimal places. 9. (A) 3 i (B) 1 i (C) 5 6i 10. (A) 1 i 3 (B) 3i (C) 7 4i 11. (A) i 3 (B) 3 i (C) 8 5i 12. (A) 3

More information

10 Back to planar nonlinear systems

10 Back to planar nonlinear systems 10 Back to planar nonlinear sstems 10.1 Near the equilibria Recall that I started talking about the Lotka Volterra model as a motivation to stud sstems of two first order autonomous equations of the form

More information

Additional Material On Recursive Sequences

Additional Material On Recursive Sequences Penn State Altoona MATH 141 Additional Material On Recursive Sequences 1. Graphical Analsis Cobweb Diagrams Consider a generic recursive sequence { an+1 = f(a n ), n = 1,, 3,..., = Given initial value.

More information

Reachability Analysis Using Octagons

Reachability Analysis Using Octagons Reachabilit Analsis Using Octagons Andrew N. Fisher and Chris J. Mers Department of Electrical and Computer Engineering Universit of Utah FAC 0 Jul 9, 0 Digitall Intensive Analog Circuits Digitall intensive

More information

15. Eigenvalues, Eigenvectors

15. Eigenvalues, Eigenvectors 5 Eigenvalues, Eigenvectors Matri of a Linear Transformation Consider a linear ( transformation ) L : a b R 2 R 2 Suppose we know that L and L Then c d because of linearit, we can determine what L does

More information

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Impact of Modern Process Technologies on the Electrical Parameters of Interconnects Debjit Sinha, Jianfeng Luo, Subramanian Rajagopalan Shabbir Batterywala, Narendra V Shenoy and Hai Zhou EECS, Northwestern

More information

Homework Notes Week 6

Homework Notes Week 6 Homework Notes Week 6 Math 24 Spring 24 34#4b The sstem + 2 3 3 + 4 = 2 + 2 + 3 4 = 2 + 2 3 = is consistent To see this we put the matri 3 2 A b = 2 into reduced row echelon form Adding times the first

More information

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005 14 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 4, NO. 9, SEPTEMBER 5 Sparse Transformations and Preconditioners for 3-D Capacitance Extraction Shu Yan, Student Member,

More information

EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS

EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS R. L. Crave, M. D. Deshpande, C. J. Redd 3, and P. I. Tiemsin () NASA Langle

More information

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Luca Daniel Massachusetts Institute of Technology Dept. of Elect. Engin. and Comp. Science Research

More information

Statistical approach in complex circuits by a wavelet based Thevenin s theorem

Statistical approach in complex circuits by a wavelet based Thevenin s theorem Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 185 Statistical approach in complex circuits by a wavelet based Thevenin s theorem

More information

FIRST- AND SECOND-ORDER IVPS The problem given in (1) is also called an nth-order initial-value problem. For example, Solve: Solve:

FIRST- AND SECOND-ORDER IVPS The problem given in (1) is also called an nth-order initial-value problem. For example, Solve: Solve: .2 INITIAL-VALUE PROBLEMS 3.2 INITIAL-VALUE PROBLEMS REVIEW MATERIAL Normal form of a DE Solution of a DE Famil of solutions INTRODUCTION We are often interested in problems in which we seek a solution

More information

Functions of Several Variables

Functions of Several Variables Chapter 1 Functions of Several Variables 1.1 Introduction A real valued function of n variables is a function f : R, where the domain is a subset of R n. So: for each ( 1,,..., n ) in, the value of f is

More information

KINEMATIC RELATIONS IN DEFORMATION OF SOLIDS

KINEMATIC RELATIONS IN DEFORMATION OF SOLIDS Chapter 8 KINEMATIC RELATIONS IN DEFORMATION OF SOLIDS Figure 8.1: 195 196 CHAPTER 8. KINEMATIC RELATIONS IN DEFORMATION OF SOLIDS 8.1 Motivation In Chapter 3, the conservation of linear momentum for a

More information

Boundary Element Method Macromodels for 2-D Hierarchical Capacitance Extraction

Boundary Element Method Macromodels for 2-D Hierarchical Capacitance Extraction Boundary Element Method Macromodels for -D Hierarchical Capacitance Extraction E. Aykut Dengi Ronald A. Rohrer Motorola Inc. Intersouth Partners 350 Ed Bluestein Blvd., Austin, TX 787 000 Park Forty Plaza,

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

THE UNIVERSITY OF MICHIGAN. Timing Analysis of Domino Logic

THE UNIVERSITY OF MICHIGAN. Timing Analysis of Domino Logic Timing Analsis of Domino Logic b David Van Campenhout, Trevor Mudge and Karem Sakallah CSE-T-296-96 THE UNIVESITY O MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Consideration of Shock Waves in Airbag Deployment Simulations

Consideration of Shock Waves in Airbag Deployment Simulations Consideration of Shock Waves in Airbag Deploment Simulations Doris Rieger BMW Group ABSTRACT When the inflation process of a simple flat airbag was simulated with the MADYMO gas flow module, the resulting

More information

EVALUATION OF STRESS IN BMI-CARBON FIBER LAMINATE TO DETERMINE THE ONSET OF MICROCRACKING

EVALUATION OF STRESS IN BMI-CARBON FIBER LAMINATE TO DETERMINE THE ONSET OF MICROCRACKING EVALUATION OF STRESS IN BMI-CARBON FIBER LAMINATE TO DETERMINE THE ONSET OF MICROCRACKING A Thesis b BRENT DURRELL PICKLE Submitted to the Office of Graduate Studies of Teas A&M Universit in partial fulfillment

More information

Experimental Study of the Field Structure of the Surface Electromagnetic Wave in an Anisotropically Conducting Tape

Experimental Study of the Field Structure of the Surface Electromagnetic Wave in an Anisotropically Conducting Tape ISSN -9, Journal of Communications Technolog and Electronics,, Vol. 58, No., pp. 8. Pleiades Publishing, Inc.,. Original Russian Tet R.B. Vaganov, I.P. Korshunov, E.N. Korshunova, A.D. Oleinikov,, published

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng EE59 Spring Parallel VLSI CAD Algorithms Lecture 5 IC interconnect model order reduction Zhuo Feng 5. Z. Feng MU EE59 In theory we can apply moment matching for any order of approximation But in practice

More information

= V I = Bus Admittance Matrix. Chapter 6: Power Flow. Constructing Ybus. Example. Network Solution. Triangular factorization. Let

= V I = Bus Admittance Matrix. Chapter 6: Power Flow. Constructing Ybus. Example. Network Solution. Triangular factorization. Let Chapter 6: Power Flow Network Matrices Network Solutions Newton-Raphson Method Fast Decoupled Method Bus Admittance Matri Let I = vector of currents injected into nodes V = vector of node voltages Y bus

More information

Identifying second degree equations

Identifying second degree equations Chapter 7 Identifing second degree equations 71 The eigenvalue method In this section we appl eigenvalue methods to determine the geometrical nature of the second degree equation a 2 + 2h + b 2 + 2g +

More information

8. BOOLEAN ALGEBRAS x x

8. BOOLEAN ALGEBRAS x x 8. BOOLEAN ALGEBRAS 8.1. Definition of a Boolean Algebra There are man sstems of interest to computing scientists that have a common underling structure. It makes sense to describe such a mathematical

More information

y R T However, the calculations are easier, when carried out using the polar set of co-ordinates ϕ,r. The relations between the co-ordinates are:

y R T However, the calculations are easier, when carried out using the polar set of co-ordinates ϕ,r. The relations between the co-ordinates are: Curved beams. Introduction Curved beams also called arches were invented about ears ago. he purpose was to form such a structure that would transfer loads, mainl the dead weight, to the ground b the elements

More information

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors L. Daniel and J. White Department of Electrical Engineering and Computer Science Research Lab

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Quantum Computer Algorithms: basics

Quantum Computer Algorithms: basics Quantum Computer Algorithms: basics Michele Mosca Canada Research Chair in Quantum Computation SQUINT Summer School on Quantum Information Processing June 3 Overview A quantum computing model Basis changes

More information

Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method

Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method Efficient Partial Element Calculation and the Extension to Cylindrical Elements for the PEEC Method A. Müsing and J. W. Kolar Power Electronic Systems Laboratory, ETH Zürich CH-8092 Zürich, Switzerland

More information

FRIEDRICH-ALEXANDER-UNIVERSITÄT ERLANGEN-NÜRNBERG. Lehrstuhl für Informatik 10 (Systemsimulation)

FRIEDRICH-ALEXANDER-UNIVERSITÄT ERLANGEN-NÜRNBERG. Lehrstuhl für Informatik 10 (Systemsimulation) FRIEDRICH-ALEXANDER-UNIVERSITÄT ERLANGEN-NÜRNBERG INSTITUT FÜR INFORMATIK (MATHEMATISCHE MASCHINEN UND DATENVERARBEITUNG) Lehrstuhl für Informatik 1 (Sstemsimulation) Efficient hierarchical grid coarsening

More information

CMPEN 411 VLSI Digital Circuits Spring 2012

CMPEN 411 VLSI Digital Circuits Spring 2012 CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 09: Resistance & Inverter Dynamic View [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic]

More information

Chapter 4 Analytic Trigonometry

Chapter 4 Analytic Trigonometry Analtic Trigonometr Chapter Analtic Trigonometr Inverse Trigonometric Functions The trigonometric functions act as an operator on the variable (angle, resulting in an output value Suppose this process

More information

Parameterized Joint Densities with Gaussian and Gaussian Mixture Marginals

Parameterized Joint Densities with Gaussian and Gaussian Mixture Marginals Parameterized Joint Densities with Gaussian and Gaussian Miture Marginals Feli Sawo, Dietrich Brunn, and Uwe D. Hanebeck Intelligent Sensor-Actuator-Sstems Laborator Institute of Computer Science and Engineering

More information

4 Strain true strain engineering strain plane strain strain transformation formulae

4 Strain true strain engineering strain plane strain strain transformation formulae 4 Strain The concept of strain is introduced in this Chapter. The approimation to the true strain of the engineering strain is discussed. The practical case of two dimensional plane strain is discussed,

More information

On the Extension of Goal-Oriented Error Estimation and Hierarchical Modeling to Discrete Lattice Models

On the Extension of Goal-Oriented Error Estimation and Hierarchical Modeling to Discrete Lattice Models On the Etension of Goal-Oriented Error Estimation and Hierarchical Modeling to Discrete Lattice Models J. T. Oden, S. Prudhomme, and P. Bauman Institute for Computational Engineering and Sciences The Universit

More information

SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits

SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits SeaPEEC: A Comprehensive Hierarchical Parasitic Extraction Tool Based on Partial Element Equivalent Circuits Saisanthosh Balakrishnan and Charlie C.-P. Chen Department of Electrical and Computer Engineering,

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

67. (a) Use a computer algebra system to find the partial fraction CAS. 68. (a) Find the partial fraction decomposition of the function CAS

67. (a) Use a computer algebra system to find the partial fraction CAS. 68. (a) Find the partial fraction decomposition of the function CAS SECTION 7.5 STRATEGY FOR INTEGRATION 483 6. 2 sin 2 2 cos CAS 67. (a) Use a computer algebra sstem to find the partial fraction decomposition of the function 62 63 Find the area of the region under the

More information

Reluctance/Inductance Matrix under

Reluctance/Inductance Matrix under Generating Stable and Sparse Reluctance/Inductance Matrix under Insufficient Conditions Y. Tanji, Kagawa University, Japan T. Watanabe, The University it of Shizuoka, Japan H. Asai, Shizuoka University,

More information

ECE 3318 Applied Electricity and Magnetism. Spring Prof. David R. Jackson ECE Dept. Notes 28

ECE 3318 Applied Electricity and Magnetism. Spring Prof. David R. Jackson ECE Dept. Notes 28 EE 3318 Applied Electricit and Magnetism Spring 217 Prof. David R. Jackson EE Dept. Notes 28 1 Magnetic Field S ε r N v q S N Note: Flu lines come out of north poles! Lorent force Law: F = qv B This eperimental

More information

Chapter 9 Vocabulary Check

Chapter 9 Vocabulary Check 9 CHAPTER 9 Eponential and Logarithmic Functions Find the inverse function of each one-to-one function. See Section 9.. 67. f = + 68. f = - CONCEPT EXTENSIONS The formula = 0 e kt gives the population

More information

CHAPTER 3 Applications of Differentiation

CHAPTER 3 Applications of Differentiation CHAPTER Applications of Differentiation Section. Etrema on an Interval................... 0 Section. Rolle s Theorem and the Mean Value Theorem...... 0 Section. Increasing and Decreasing Functions and

More information

ragsdale (zdr82) HW7 ditmire (58335) 1 The magnetic force is

ragsdale (zdr82) HW7 ditmire (58335) 1 The magnetic force is ragsdale (zdr8) HW7 ditmire (585) This print-out should have 8 questions. Multiple-choice questions ma continue on the net column or page find all choices efore answering. 00 0.0 points A wire carring

More information

PAPER A Fast Delay Computation for the Hybrid Structured Clock Network

PAPER A Fast Delay Computation for the Hybrid Structured Clock Network 1964 PAPER A Fast Delay Computation for the Hybrid Structured Clock Network Yi ZOU a), Student Member, Yici CAI b), Qiang ZHOU c), Xianlong HONG d), and Sheldon X.-D. TAN e), Nonmembers SUMMARY This paper

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction 2E-1 Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction Zhenyu Qi, Sheldon X.-D. Tan Department of Electrical Engineering, University of California, Riverside, CA

More information

RECURSIVE SEQUENCES IN FIRST-YEAR CALCULUS

RECURSIVE SEQUENCES IN FIRST-YEAR CALCULUS RECURSIVE SEQUENCES IN FIRST-YEAR CALCULUS THOMAS KRAINER Abstract. This article provides read-to-use supplementar material on recursive sequences for a second semester calculus class. It equips first-ear

More information

Determinants. We said in Section 3.3 that a 2 2 matrix a b. Determinant of an n n Matrix

Determinants. We said in Section 3.3 that a 2 2 matrix a b. Determinant of an n n Matrix 3.6 Determinants We said in Section 3.3 that a 2 2 matri a b is invertible if and onl if its c d erminant, ad bc, is nonzero, and we saw the erminant used in the formula for the inverse of a 2 2 matri.

More information