An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

Size: px
Start display at page:

Download "An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits"

Transcription

1 Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng (Advisor) 1 Department of Electrical & Computer Engineering Michigan Technological University

2 Motivation Traditional harmonic balance methods for RF simulation Solve large yet non-sparse Jacobian matrices Direct solution methods Take excessively long simulation time Consume huge amount of memory resources Iterative solution methods Rely on high-quality preconditioner Traditional iterative methods preconditioners Matrix-oriented, may miss important circuit information Inefficient for strongly nonlinear system 2

3 Prior Works Prior RF circuit HB simulation approaches Direct solution method(a. Mehrotra et al, DAC 09) Handles strongly nonlinear RF circuit Consumes large computational resources Block-diagonal averaging preconditioner (P. Feldmann et al, CICC 96) Fast and memory efficient Limited to weakly nonlinear systems Hierarchical HB preconditioner (W. Dong et al, TCAD 09) Suitable for parallel computing Bad performance when handling strongly-nonlinear systems 3

4 Harmonic Balance Harmonic balance refers to balancing the current between linear and nonlinear portions at every harmonic frequency. Harmonic balance process Nonlinear Diff. Equations Time Domain Convert to nonlinear equation of Fourier coefficients Approximate steady state solution 4

5 Harmonic Balance Analysis(1) Non-autonomous circuit analysis[1] t y ( t s) x( s) ds + + f ( x( t)) + b( t) = 0 xx(tt): State variables dq( x( t)) dt yy : Matrix-valued impulse response function of frequency-domain linear circuit components qq : Function for the nonlinear charge and flux ff ( ): Static(memoryless) nonlinearities bb : Time-dependent excitations [1] K. S. Kundert and A. Sangiovanni-vincentelli. Simulation of Nonlinear Circuits in the Frequency Domain, CAD,

6 6 Harmonic Balance Analysis(2) HB Jacobian matrix[1] Γ and Γ 1 represent the Fast Fourier Transform(FFT) and Inverse Fast Fourier Transform(IFFT) respectively GG and CC denote the linearization of qq()andff()at s time domain sampled points JJ hbb includes lots of dense blocks introduced by ΓGGΓ 1 and ΓCCΓ 1 [1] K. S. Kundert and A. Sangiovanni-vincentelli. Simulation of Nonlinear Circuits in the Frequency Domain, CAD, Γ + Γ Γ ΩΓ + = G C f j Y J hb π = t S t t x q x q x q C 2 1 = t S t t x f x f x f G 2 1

7 Our Proposed SCPHB Method Our proposed method: support-circuit preconditioned HB (SCPHB) iterative solver: Effective for solving RF nonlinear circuits Scalable linearized RF circuit sparsification Circuit-oriented preconditioner generation Adaptive support-circuit sparsification Matrix-free iterative solver 7

8 Graph Sparsification Techniques General linear circuit analysis problems can be converted to equivalent weighted, undirected graph problems G = ( V, E, w) The Laplacian matrix A of a graph VV : a set of vertices EE : a set of edges ww : a weight function that assigns a positive weight to every edge Defined by the quadratic form it induces, which is also known as the admittance matrix in circuit theory x T Ax = ( s, d ) E w, ( x( s) x( d)) s d 2 8

9 Graph Sparsification Techniques (cont.) Graph sparsifier GGG Sparse subgraph of GG can approximate GG in some measure(pairwise distance, cut values or the graph Laplacian) The goal of graph sparsification is to approximate a given graph GG by GG on the same set of vertices such that GG can be used as a proxy for GG in numerical computations without introducing too much error. A good sparsifier should have very few edges that will immediately result in significantly reduced computation and storage cost 9 Figure source: L. Koutis, G. L. Miller and R. Peng. A fast solver for a class of linear systems. Commun. ACM, 2012

10 Matrix Sparsification Benefit Good sparsifier: has fewer edges, significantly reduced computation and storage cost Our observation Modify node analysis (MNA) matrix entries reduction: 20% ~ 38% Fill-ins during LU reduction: 60% LU factorization Speedup: 50X 10

11 Support Graph Preconditioners Spanning-tree support graph as a preconditioner[2] May not be efficient for ill-conditioned system Reduces overall conductivities of the resistive network Mismatches the power dissipation between original graph and the spanning tree graph Original graph Spanning tree Edges of original graph Edges of spanning tree graph 11 [2] X. Zhao, J. Wang, Z. Feng and S. Hu. Power grid analysis with hierarchical support graphs. In Proc. ACM ICCAD, 2011.

12 Support Graph Preconditioners(cont.) Ultra-sparsifier support graph as a preconditioner[3] Adds critical extra edges to spanning tree Has better approximation in both eigenvalues and power dissipation Introduces more fill-ins during LU factorization Spanning tree Ultra-sparsifier Edges of spanning tree graph Extra edges [3] X. Zhao and Z. Feng. GPSCP: A General-Purpose Support-Circuit Preconditioning Approach to Large-Scale SPICE-Accurate Nonlinear Circuit Simulations. In Proc. IEEE/ACM ICCAD,

13 Adaptive Support-Circuit Sparsification Total simulation runtime T total = T LU + N T GMRES T LU T GMRES : Preconditioner LU factorization runtime : One GMRES iteration runtime N : Total GMRES iteration number N T GMRES T LU T LU N T GMRES Dense graph Sparse graph Adaptive sparsification control 13 If N is large then maintain more edges If N is small then further sparsify the matrix

14 Flowchart of Proposed Approach Start Device evaluation Decompose MNA matrix to Passive and active matrices NR Support-circuit preconditioner Preconditioner factorization 1. Construct representative passive matrix 2. Extract sparsification pattern 3. Sparsify MNA Matrix 4. Generate Support-circuit preconditioner GMRES iterations Block-based LU decomposition Convergence checking Matrix-free iterative solver 14 End

15 Support Circuit Preconditioner Construction Step 1: Linearized Circuit Decomposition Support-graph sparification : symmetric, diagonally dominant matrix Passive Matrix(P): passive devices such as resistors, capacitors, inductors Active Matrix(A): active devices such as transconductances, sources 15 L1 M1 R2 C1 L2 R1 RF Circuit C2 Note: t1~ts are s time sampled time points C gs C gs L1 3 C gd gmvgs C1 1 2 g C gs ds 4L2 R2 5 R1 C2 Linearized Circuit at t1... L1 C C gd g C gs ds C2 gmvgs 4 L2 R1 R2 5 Linearized Circuit at ts P t1 A t1 P ts A ts

16 Support Circuit Preconditioner Construction(cont.) Step 2: Representative Passive Matrix Construction Different sampled time points have different entry values Normalize all sampled time points passive matrix Average all scaled passive matrices P t1 P t2 P ts Normalize Average 16 Representative Passive Matrix

17 Support Circuit Preconditioner Construction(cont.) Step 3: Sparsification Pattern Extraction Convert matrix to weighted graph Sparsify the weighted graph and Convert back to matrix Combine with Active matrix Representative Passive Matrix 2 C1/h 1 C gd /h 3 g ds +C ds /h C gs /h 4 g2 Original Weighted Graph 5 g1+c2/h 2 C1/h 1 g1+c2/h C gd /h 3 g ds +C ds /h 4 g2 5 Ultra-Sparsifier 17 Sparsification pattern Matrix Active Matrix Sparsified Representative Passive Matrix

18 Support Circuit Preconditioner Construction(cont.) Step 4: MNA Matrix Sparsification System MNA Matrix t1 Sparsified System MNA Matrix t1 System MNA Matrix t2 Sparsification pattern Matrix Sparsified system MNA Matrix t2 18 System MNA Matrix ts Sparsified system MNA Matrix ts

19 Support Circuit Preconditioner Construction(cont.) Circulant matrix review G = g 1 g 2 g s [ 1 2 g, g,, ] FFT [ 1 2 g s G, G,, ] G s T T Γ G Γ 1 = G G G 1 s 2 G G 2 1 G s G G s 1 Step 5: Support circuit block preconditioner generation Original matrix : all variables of a single harmonic grouped together Permuted matrix: all the harmonics of a single variable grouped together Permutation FFT Sparsified MNA matrix 19 Permuted matrix Support circuit preconditioner

20 Block Sparse Matrix LU Factorization Test matrix Has same sparsity structure as the MNA matrix Has representative entries of all sampled time points MNA matrices Approximates the properties of block sparse matrix Has same permutation and pivoting pattern with block sparse matrix LU factorization Block sparse matrix LU factorization Applies permutation and pivoting pattern to block sparse matrix Performs LU factorization w/o pivoting Uses LAPACK/BLAS for matrix dense block multiplication and division Matrix-free iterative solver Implicit system Jacobian matrix Explicit preconditioner matrix which has limited entries 20

21 Experiment Setup Widely used RF circuits as the benchmark CKT Name Nodes Tones Freqs Nunk 1 mixer mixer mixer mixer LNA + mixer LNA + mixer LNA + mixer Note: Freqs: Number of harmonics Nunk: Number of unknowns 21

22 Runtime and Memory Efficiency Support-circuit preconditioned HB(SCPHB) method High robustness and efficiency Runtime speedup: 10X (compared with direct solver) Memory reduction: 8X(compared with direct solver) CKT Direct solver BD preconditioner SCPHB preconditioner Time(s) Mem(GB) Time(s) K-Its Time(s) Mem(GB) K-Its DNF DNF DNF DNF DNF DNF K-Its : GMRES iteration number DNF : Do not finish within 1000 Newton iterations 22

23 Near-constant runtime efficiency Simulation runtime VS. input power of LNA+Mixer BD preconditioner: increase exponentially SCPHB preconditioner: near-constant 23

24 Conclusion A scalable Jacobian matrix solving method is proposed for tackling frequency-domain strongly nonlinear HB analysis Our experimental results show that SCPHB method can attain: Obtain up to 10X speedups in RF HB simulations Reduce up to 8X memory consumption Key ideas : Use ultra-sparsifier support circuit as the preconditioner Use block sparse LU matrix solver for factorizing the preconditioner Use matrix-free iterative solver Use adaptive sparsification control to get best overall runtime 24

Advanced Computational Methods for VLSI Systems. Lecture 4 RF Circuit Simulation Methods. Zhuo Feng

Advanced Computational Methods for VLSI Systems. Lecture 4 RF Circuit Simulation Methods. Zhuo Feng Advanced Computational Methods for VLSI Systems Lecture 4 RF Circuit Simulation Methods Zhuo Feng 6. Z. Feng MTU EE59 Neither ac analysis nor pole / zero analysis allow nonlinearities Harmonic balance

More information

Lengfei Han Department of ECE Michigan Technological University Houghton, MI,

Lengfei Han Department of ECE Michigan Technological University Houghton, MI, Transient-Simulation Guided Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Post-Layout RF Circuits Leveraging Heterogeneous CPU-GPU Computing Systems Lengfei Han Department

More information

Towards Practically-Efficient Spectral Sparsification of Graphs. Zhuo Feng

Towards Practically-Efficient Spectral Sparsification of Graphs. Zhuo Feng Design Automation Group Towards Practically-Efficient Spectral Sparsification of Graphs Zhuo Feng Acknowledgements: PhD students: Xueqian Zhao, Lengfei Han, Zhiqiang Zhao, Yongyu Wang NSF CCF CAREER grant

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 48, NO. 12, DECEMBER 2000 2389 A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits Emad Gad, Student Member,

More information

A New Simulation Technique for Periodic Small-Signal Analysis

A New Simulation Technique for Periodic Small-Signal Analysis A New Simulation Technique for Periodic Small-Signal Analysis MM.Gourary,S.G.Rusakov,S.L.Ulyanov,M.M.Zharov IPPM, Russian Academy of Sciences, Moscow, Russia B. J. Mulvaney Motorola Inc., Austin, Texas,

More information

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Pei Sun and Xin Li ECE Department, Carnegie Mellon University 5000 Forbes Avenue, Pittsburgh, PA 1513 {peis, xinli}@ece.cmu.edu

More information

U.C. Berkeley CS270: Algorithms Lecture 21 Professor Vazirani and Professor Rao Last revised. Lecture 21

U.C. Berkeley CS270: Algorithms Lecture 21 Professor Vazirani and Professor Rao Last revised. Lecture 21 U.C. Berkeley CS270: Algorithms Lecture 21 Professor Vazirani and Professor Rao Scribe: Anupam Last revised Lecture 21 1 Laplacian systems in nearly linear time Building upon the ideas introduced in the

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices

Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices Alper Demir David Long Jaijeet Roychowdhury Bell Laboratories Murray Hill New Jersey USA Abstract The main effort in oscillator

More information

The Harmonic Balance Method

The Harmonic Balance Method For Nonlinear Microwave Circuits Hans-Dieter Lang, Xingqi Zhang Thursday, April 25, 2013 ECE 1254 Modeling of Multiphysics Systems Course Project Presentation University of Toronto Contents Balancing the

More information

Accurate Fourier Analysis for Circuit Simulators

Accurate Fourier Analysis for Circuit Simulators Accurate Fourier Analysis for Circuit Simulators Kenneth S. Kundert Cadence Design Systems (Based on Presentation to CICC 94) Abstract A new approach to Fourier analysis within the context of circuit simulation

More information

Solving Large Nonlinear Sparse Systems

Solving Large Nonlinear Sparse Systems Solving Large Nonlinear Sparse Systems Fred W. Wubs and Jonas Thies Computational Mechanics & Numerical Mathematics University of Groningen, the Netherlands f.w.wubs@rug.nl Centre for Interdisciplinary

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

KLU and PSS Analysis Implementations into NGSPICE

KLU and PSS Analysis Implementations into NGSPICE Francesco Lannutti 1,2, Stefano Perticaroli 1,2 1 University of Roma Sapienza, DIET 2 Project Dec 12 th, 2012 MOS-AK 2012, San Francisco (CA) USA People Italian Wing German Wing Francesco Lannutti Dietmar

More information

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng EE59 Spring Parallel VLSI CAD Algorithms Lecture 5 IC interconnect model order reduction Zhuo Feng 5. Z. Feng MU EE59 In theory we can apply moment matching for any order of approximation But in practice

More information

High Performance Nonlinear Solvers

High Performance Nonlinear Solvers What is a nonlinear system? High Performance Nonlinear Solvers Michael McCourt Division Argonne National Laboratory IIT Meshfree Seminar September 19, 2011 Every nonlinear system of equations can be described

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 43.2 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu EE Department, UCLA Los Angeles, CA 90095 Lei He EE Department, UCLA Los Angeles, CA 90095 ABSTRACT The geometry-integration based

More information

NONLINEAR CIRCUIT SIMULATION IN THE FREQUENCY-DOMAIN

NONLINEAR CIRCUIT SIMULATION IN THE FREQUENCY-DOMAIN NONLINEAR CIRCUIT SIMULATION IN THE FREQUENCY-DOMAIN Kenneth S. Kundert Alberto Sangiovanni-Vincentelli University of California, Berkeley, CA. 9472 Abstract Simulation in the frequency-domain avoids many

More information

Model order reduction of electrical circuits with nonlinear elements

Model order reduction of electrical circuits with nonlinear elements Model order reduction of electrical circuits with nonlinear elements Andreas Steinbrecher and Tatjana Stykel 1 Introduction The efficient and robust numerical simulation of electrical circuits plays a

More information

Lecture 24: Element-wise Sampling of Graphs and Linear Equation Solving. 22 Element-wise Sampling of Graphs and Linear Equation Solving

Lecture 24: Element-wise Sampling of Graphs and Linear Equation Solving. 22 Element-wise Sampling of Graphs and Linear Equation Solving Stat260/CS294: Randomized Algorithms for Matrices and Data Lecture 24-12/02/2013 Lecture 24: Element-wise Sampling of Graphs and Linear Equation Solving Lecturer: Michael Mahoney Scribe: Michael Mahoney

More information

A Robust Periodic Arnoldi Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs

A Robust Periodic Arnoldi Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs 3.3 A Robust Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs Xue-Xin Liu, Hao Yu, and Sheldon X.-D. Tan Department of Electrical Engineering, University of California, Riverside, CA

More information

Enhancing Scalability of Sparse Direct Methods

Enhancing Scalability of Sparse Direct Methods Journal of Physics: Conference Series 78 (007) 0 doi:0.088/7-6596/78//0 Enhancing Scalability of Sparse Direct Methods X.S. Li, J. Demmel, L. Grigori, M. Gu, J. Xia 5, S. Jardin 6, C. Sovinec 7, L.-Q.

More information

Quiescent Steady State (DC) Analysis The Newton-Raphson Method

Quiescent Steady State (DC) Analysis The Newton-Raphson Method Quiescent Steady State (DC) Analysis The Newton-Raphson Method J. Roychowdhury, University of California at Berkeley Slide 1 Solving the System's DAEs DAEs: many types of solutions useful DC steady state:

More information

Graph Sparsifiers: A Survey

Graph Sparsifiers: A Survey Graph Sparsifiers: A Survey Nick Harvey UBC Based on work by: Batson, Benczur, de Carli Silva, Fung, Hariharan, Harvey, Karger, Panigrahi, Sato, Spielman, Srivastava and Teng Approximating Dense Objects

More information

Direct and Incomplete Cholesky Factorizations with Static Supernodes

Direct and Incomplete Cholesky Factorizations with Static Supernodes Direct and Incomplete Cholesky Factorizations with Static Supernodes AMSC 661 Term Project Report Yuancheng Luo 2010-05-14 Introduction Incomplete factorizations of sparse symmetric positive definite (SSPD)

More information

Jacobi-Davidson methods and preconditioning with applications in pole-zero analysis

Jacobi-Davidson methods and preconditioning with applications in pole-zero analysis Nat.Lab. Unclassified Report 2002/817 Date of issue: 05/2002 Jacobi-Davidson methods and preconditioning with applications in pole-zero analysis Master s Thesis Joost Rommes Unclassified Report 2002/817

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Linear Solvers. Andrew Hazel

Linear Solvers. Andrew Hazel Linear Solvers Andrew Hazel Introduction Thus far we have talked about the formulation and discretisation of physical problems...... and stopped when we got to a discrete linear system of equations. Introduction

More information

Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits

Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 10, OCTOBER 2003 1297 Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits Peng Li, Student

More information

Implicit Solution of Viscous Aerodynamic Flows using the Discontinuous Galerkin Method

Implicit Solution of Viscous Aerodynamic Flows using the Discontinuous Galerkin Method Implicit Solution of Viscous Aerodynamic Flows using the Discontinuous Galerkin Method Per-Olof Persson and Jaime Peraire Massachusetts Institute of Technology 7th World Congress on Computational Mechanics

More information

An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables

An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables David M. Bromberg, Marko Jereminov, Xin Li, Gabriela Hug, Larry Pileggi Dept. of Electrical and Computer

More information

Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction

Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction 1 Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction Hao Yu, Yiyu Shi, and Lei He Electrical Engineering Department University of California, Los

More information

STEADY STATE ANALYSIS OF MULTITONE NONLINEAR CIRCUITS IN WAVELET DOMAIN

STEADY STATE ANALYSIS OF MULTITONE NONLINEAR CIRCUITS IN WAVELET DOMAIN 2169-IF-TH-21: Steady State Analysis Of Multitone Nonlinear Circuits In Wavelet Domain Page 1 of 45 STEADY STATE ANALYSIS OF MULTITONE NONLINEAR CIRCUITS IN WAVELET DOMAIN Nick Soveiko and Michel Nakhla

More information

Scientific Computing with Case Studies SIAM Press, Lecture Notes for Unit VII Sparse Matrix

Scientific Computing with Case Studies SIAM Press, Lecture Notes for Unit VII Sparse Matrix Scientific Computing with Case Studies SIAM Press, 2009 http://www.cs.umd.edu/users/oleary/sccswebpage Lecture Notes for Unit VII Sparse Matrix Computations Part 1: Direct Methods Dianne P. O Leary c 2008

More information

An Empirical Comparison of Graph Laplacian Solvers

An Empirical Comparison of Graph Laplacian Solvers An Empirical Comparison of Graph Laplacian Solvers Kevin Deweese 1 Erik Boman 2 John Gilbert 1 1 Department of Computer Science University of California, Santa Barbara 2 Scalable Algorithms Department

More information

An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems

An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems P.-O. Persson and J. Peraire Massachusetts Institute of Technology 2006 AIAA Aerospace Sciences Meeting, Reno, Nevada January 9,

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

Sakurai-Sugiura algorithm based eigenvalue solver for Siesta. Georg Huhs

Sakurai-Sugiura algorithm based eigenvalue solver for Siesta. Georg Huhs Sakurai-Sugiura algorithm based eigenvalue solver for Siesta Georg Huhs Motivation Timing analysis for one SCF-loop iteration: left: CNT/Graphene, right: DNA Siesta Specifics High fraction of EVs needed

More information

Newton-Krylov-Schwarz Method for a Spherical Shallow Water Model

Newton-Krylov-Schwarz Method for a Spherical Shallow Water Model Newton-Krylov-Schwarz Method for a Spherical Shallow Water Model Chao Yang 1 and Xiao-Chuan Cai 2 1 Institute of Software, Chinese Academy of Sciences, Beijing 100190, P. R. China, yang@mail.rdcps.ac.cn

More information

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Michel Nakhla Carleton University Canada Model Reduction for Complex Dynamical Systems Berlin 2010 EMI Delay Crosstalk Reflection

More information

Indefinite and physics-based preconditioning

Indefinite and physics-based preconditioning Indefinite and physics-based preconditioning Jed Brown VAW, ETH Zürich 2009-01-29 Newton iteration Standard form of a nonlinear system F (u) 0 Iteration Solve: Update: J(ũ)u F (ũ) ũ + ũ + u Example (p-bratu)

More information

Multipole-Based Preconditioners for Sparse Linear Systems.

Multipole-Based Preconditioners for Sparse Linear Systems. Multipole-Based Preconditioners for Sparse Linear Systems. Ananth Grama Purdue University. Supported by the National Science Foundation. Overview Summary of Contributions Generalized Stokes Problem Solenoidal

More information

A robust multilevel approximate inverse preconditioner for symmetric positive definite matrices

A robust multilevel approximate inverse preconditioner for symmetric positive definite matrices DICEA DEPARTMENT OF CIVIL, ENVIRONMENTAL AND ARCHITECTURAL ENGINEERING PhD SCHOOL CIVIL AND ENVIRONMENTAL ENGINEERING SCIENCES XXX CYCLE A robust multilevel approximate inverse preconditioner for symmetric

More information

Migration with Implicit Solvers for the Time-harmonic Helmholtz

Migration with Implicit Solvers for the Time-harmonic Helmholtz Migration with Implicit Solvers for the Time-harmonic Helmholtz Yogi A. Erlangga, Felix J. Herrmann Seismic Laboratory for Imaging and Modeling, The University of British Columbia {yerlangga,fherrmann}@eos.ubc.ca

More information

Electronic Circuits Summary

Electronic Circuits Summary Electronic Circuits Summary Andreas Biri, D-ITET 6.06.4 Constants (@300K) ε 0 = 8.854 0 F m m 0 = 9. 0 3 kg k =.38 0 3 J K = 8.67 0 5 ev/k kt q = 0.059 V, q kt = 38.6, kt = 5.9 mev V Small Signal Equivalent

More information

M.A. Botchev. September 5, 2014

M.A. Botchev. September 5, 2014 Rome-Moscow school of Matrix Methods and Applied Linear Algebra 2014 A short introduction to Krylov subspaces for linear systems, matrix functions and inexact Newton methods. Plan and exercises. M.A. Botchev

More information

Contents. Preface... xi. Introduction...

Contents. Preface... xi. Introduction... Contents Preface... xi Introduction... xv Chapter 1. Computer Architectures... 1 1.1. Different types of parallelism... 1 1.1.1. Overlap, concurrency and parallelism... 1 1.1.2. Temporal and spatial parallelism

More information

Lecture 11: CMSC 878R/AMSC698R. Iterative Methods An introduction. Outline. Inverse, LU decomposition, Cholesky, SVD, etc.

Lecture 11: CMSC 878R/AMSC698R. Iterative Methods An introduction. Outline. Inverse, LU decomposition, Cholesky, SVD, etc. Lecture 11: CMSC 878R/AMSC698R Iterative Methods An introduction Outline Direct Solution of Linear Systems Inverse, LU decomposition, Cholesky, SVD, etc. Iterative methods for linear systems Why? Matrix

More information

Simulation of RF integrated circuits. Dr. Emad Gad

Simulation of RF integrated circuits. Dr. Emad Gad Simulation of RF integrated circuits Dr. Emad Gad 2007 2 Contents 1 Formulation of Circuit Equations 3 1.1 Modified Nodal Analysis........................... 3 1.1.1 Resistor Stamps............................

More information

Matrix Reduction Techniques for Ordinary Differential Equations in Chemical Systems

Matrix Reduction Techniques for Ordinary Differential Equations in Chemical Systems Matrix Reduction Techniques for Ordinary Differential Equations in Chemical Systems Varad Deshmukh University of California, Santa Barbara April 22, 2013 Contents 1 Introduction 3 2 Chemical Models 3 3

More information

Conjugate Gradient Method

Conjugate Gradient Method Conjugate Gradient Method direct and indirect methods positive definite linear systems Krylov sequence spectral analysis of Krylov sequence preconditioning Prof. S. Boyd, EE364b, Stanford University Three

More information

A Solenoidal Basis Method For Efficient Inductance Extraction Λ

A Solenoidal Basis Method For Efficient Inductance Extraction Λ A Solenoidal Basis Method For Efficient Inductance Extraction Λ Hemant Mahawar Department of Computer Science Texas A&M University College Station, TX 77843 mahawarh@cs.tamu.edu Vivek Sarin Department

More information

5.1 Banded Storage. u = temperature. The five-point difference operator. uh (x, y + h) 2u h (x, y)+u h (x, y h) uh (x + h, y) 2u h (x, y)+u h (x h, y)

5.1 Banded Storage. u = temperature. The five-point difference operator. uh (x, y + h) 2u h (x, y)+u h (x, y h) uh (x + h, y) 2u h (x, y)+u h (x h, y) 5.1 Banded Storage u = temperature u= u h temperature at gridpoints u h = 1 u= Laplace s equation u= h u = u h = grid size u=1 The five-point difference operator 1 u h =1 uh (x + h, y) 2u h (x, y)+u h

More information

Minisymposia 9 and 34: Avoiding Communication in Linear Algebra. Jim Demmel UC Berkeley bebop.cs.berkeley.edu

Minisymposia 9 and 34: Avoiding Communication in Linear Algebra. Jim Demmel UC Berkeley bebop.cs.berkeley.edu Minisymposia 9 and 34: Avoiding Communication in Linear Algebra Jim Demmel UC Berkeley bebop.cs.berkeley.edu Motivation (1) Increasing parallelism to exploit From Top500 to multicores in your laptop Exponentially

More information

ECE 546 Lecture 16 MNA and SPICE

ECE 546 Lecture 16 MNA and SPICE ECE 546 Lecture 16 MNA and SPICE Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Nodal Analysis The Node oltage method

More information

Preconditioning the Newton-Krylov method for reactive transport

Preconditioning the Newton-Krylov method for reactive transport Preconditioning the Newton-Krylov method for reactive transport Michel Kern, L. Amir, A. Taakili INRIA Paris-Rocquencourt Maison de la Simulation MoMaS workshop Reactive Transport Modeling in the Geological

More information

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005 14 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 4, NO. 9, SEPTEMBER 5 Sparse Transformations and Preconditioners for 3-D Capacitance Extraction Shu Yan, Student Member,

More information

Jacobi-Davidson Eigensolver in Cusolver Library. Lung-Sheng Chien, NVIDIA

Jacobi-Davidson Eigensolver in Cusolver Library. Lung-Sheng Chien, NVIDIA Jacobi-Davidson Eigensolver in Cusolver Library Lung-Sheng Chien, NVIDIA lchien@nvidia.com Outline CuSolver library - cusolverdn: dense LAPACK - cusolversp: sparse LAPACK - cusolverrf: refactorization

More information

A FAST SOLVER FOR ELLIPTIC EQUATIONS WITH HARMONIC COEFFICIENT APPROXIMATIONS

A FAST SOLVER FOR ELLIPTIC EQUATIONS WITH HARMONIC COEFFICIENT APPROXIMATIONS Proceedings of ALGORITMY 2005 pp. 222 229 A FAST SOLVER FOR ELLIPTIC EQUATIONS WITH HARMONIC COEFFICIENT APPROXIMATIONS ELENA BRAVERMAN, MOSHE ISRAELI, AND ALEXANDER SHERMAN Abstract. Based on a fast subtractional

More information

Worksheets for GCSE Mathematics. Quadratics. mr-mathematics.com Maths Resources for Teachers. Algebra

Worksheets for GCSE Mathematics. Quadratics. mr-mathematics.com Maths Resources for Teachers. Algebra Worksheets for GCSE Mathematics Quadratics mr-mathematics.com Maths Resources for Teachers Algebra Quadratics Worksheets Contents Differentiated Independent Learning Worksheets Solving x + bx + c by factorisation

More information

Scientific Computing

Scientific Computing Scientific Computing Direct solution methods Martin van Gijzen Delft University of Technology October 3, 2018 1 Program October 3 Matrix norms LU decomposition Basic algorithm Cost Stability Pivoting Pivoting

More information

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors J.I. Aliaga 1 M. Bollhöfer 2 A.F. Martín 1 E.S. Quintana-Ortí 1 1 Deparment of Computer

More information

Reluctance/Inductance Matrix under

Reluctance/Inductance Matrix under Generating Stable and Sparse Reluctance/Inductance Matrix under Insufficient Conditions Y. Tanji, Kagawa University, Japan T. Watanabe, The University it of Shizuoka, Japan H. Asai, Shizuoka University,

More information

Machine Learning Applied to 3-D Reservoir Simulation

Machine Learning Applied to 3-D Reservoir Simulation Machine Learning Applied to 3-D Reservoir Simulation Marco A. Cardoso 1 Introduction The optimization of subsurface flow processes is important for many applications including oil field operations and

More information

Automatic Formulation of Circuit Equations

Automatic Formulation of Circuit Equations ECE 570 Session 3 IC 752-E Computer Aided Engineering for Integrated Circuits Automatic Formulation of Circuit Equations Objective: Basics of computer aided analysis/simulation Outline:. Discussion of

More information

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 49 Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method Haitian Hu, Member, IEEE,

More information

Solving linear systems (6 lectures)

Solving linear systems (6 lectures) Chapter 2 Solving linear systems (6 lectures) 2.1 Solving linear systems: LU factorization (1 lectures) Reference: [Trefethen, Bau III] Lecture 20, 21 How do you solve Ax = b? (2.1.1) In numerical linear

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

Lecture 18 Classical Iterative Methods

Lecture 18 Classical Iterative Methods Lecture 18 Classical Iterative Methods MIT 18.335J / 6.337J Introduction to Numerical Methods Per-Olof Persson November 14, 2006 1 Iterative Methods for Linear Systems Direct methods for solving Ax = b,

More information

On the Scalability in Cooperative Control. Zhongkui Li. Peking University

On the Scalability in Cooperative Control. Zhongkui Li. Peking University On the Scalability in Cooperative Control Zhongkui Li Email: zhongkli@pku.edu.cn Peking University June 25, 2016 Zhongkui Li (PKU) Scalability June 25, 2016 1 / 28 Background Cooperative control is to

More information

Analog Circuits Part 1 Circuit Theory

Analog Circuits Part 1 Circuit Theory Introductory Medical Device Prototyping Analog Circuits Part 1 Circuit Theory, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Concepts to be Covered Circuit Theory

More information

A Novel Approach for Solving the Power Flow Equations

A Novel Approach for Solving the Power Flow Equations Vol.1, Issue.2, pp-364-370 ISSN: 2249-6645 A Novel Approach for Solving the Power Flow Equations Anwesh Chowdary 1, Dr. G. MadhusudhanaRao 2 1 Dept.of.EEE, KL University-Guntur, Andhra Pradesh, INDIA 2

More information

AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences)

AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences) AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences) Lecture 19: Computing the SVD; Sparse Linear Systems Xiangmin Jiao Stony Brook University Xiangmin Jiao Numerical

More information

Unit 1A: Computational Complexity

Unit 1A: Computational Complexity Unit 1A: Computational Complexity Course contents: Computational complexity NP-completeness Algorithmic Paradigms Readings Chapters 3, 4, and 5 Unit 1A 1 O: Upper Bounding Function Def: f(n)= O(g(n)) if

More information

An Efficient Algorithm For Weak Hierarchical Lasso. Yashu Liu, Jie Wang, Jieping Ye Arizona State University

An Efficient Algorithm For Weak Hierarchical Lasso. Yashu Liu, Jie Wang, Jieping Ye Arizona State University An Efficient Algorithm For Weak Hierarchical Lasso Yashu Liu, Jie Wang, Jieping Ye Arizona State University Outline Regression with Interactions Problems and Challenges Weak Hierarchical Lasso The Proposed

More information

Matrix Assembly in FEA

Matrix Assembly in FEA Matrix Assembly in FEA 1 In Chapter 2, we spoke about how the global matrix equations are assembled in the finite element method. We now want to revisit that discussion and add some details. For example,

More information

J.I. Aliaga 1 M. Bollhöfer 2 A.F. Martín 1 E.S. Quintana-Ortí 1. March, 2009

J.I. Aliaga 1 M. Bollhöfer 2 A.F. Martín 1 E.S. Quintana-Ortí 1. March, 2009 Parallel Preconditioning of Linear Systems based on ILUPACK for Multithreaded Architectures J.I. Aliaga M. Bollhöfer 2 A.F. Martín E.S. Quintana-Ortí Deparment of Computer Science and Engineering, Univ.

More information

A High-Performance Parallel Hybrid Method for Large Sparse Linear Systems

A High-Performance Parallel Hybrid Method for Large Sparse Linear Systems Outline A High-Performance Parallel Hybrid Method for Large Sparse Linear Systems Azzam Haidar CERFACS, Toulouse joint work with Luc Giraud (N7-IRIT, France) and Layne Watson (Virginia Polytechnic Institute,

More information

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Outline Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Bei Yu 1 Sheqin Dong 1 Yuchun Ma 1 Tao Lin 1 Yu Wang 1 Song Chen 2 Satoshi GOTO 2 1 Department of Computer Science

More information

Multilevel low-rank approximation preconditioners Yousef Saad Department of Computer Science and Engineering University of Minnesota

Multilevel low-rank approximation preconditioners Yousef Saad Department of Computer Science and Engineering University of Minnesota Multilevel low-rank approximation preconditioners Yousef Saad Department of Computer Science and Engineering University of Minnesota SIAM CSE Boston - March 1, 2013 First: Joint work with Ruipeng Li Work

More information

Introduction to Compact Dynamical Modeling. II.1 Steady State Simulation. Luca Daniel Massachusetts Institute of Technology. dx dt.

Introduction to Compact Dynamical Modeling. II.1 Steady State Simulation. Luca Daniel Massachusetts Institute of Technology. dx dt. Course Outline NS & NIH Introduction to Compact Dynamical Modeling II. Steady State Simulation uca Daniel Massachusetts Institute o Technology Quic Snea Preview I. ssembling Models rom Physical Problems

More information

WHEN studying distributed simulations of power systems,

WHEN studying distributed simulations of power systems, 1096 IEEE TRANSACTIONS ON POWER SYSTEMS, VOL 21, NO 3, AUGUST 2006 A Jacobian-Free Newton-GMRES(m) Method with Adaptive Preconditioner and Its Application for Power Flow Calculations Ying Chen and Chen

More information

Fast Iterative Solution of Saddle Point Problems

Fast Iterative Solution of Saddle Point Problems Michele Benzi Department of Mathematics and Computer Science Emory University Atlanta, GA Acknowledgments NSF (Computational Mathematics) Maxim Olshanskii (Mech-Math, Moscow State U.) Zhen Wang (PhD student,

More information

APPLICATION TO TRANSIENT ANALYSIS OF ELECTRICAL CIRCUITS

APPLICATION TO TRANSIENT ANALYSIS OF ELECTRICAL CIRCUITS EECE 552 Numerical Circuit Analysis Chapter Nine APPLICATION TO TRANSIENT ANALYSIS OF ELECTRICAL CIRCUITS I. Hajj Application to Electrical Circuits Method 1: Construct state equations = f(x, t) Method

More information

RandNLA: Randomized Numerical Linear Algebra

RandNLA: Randomized Numerical Linear Algebra RandNLA: Randomized Numerical Linear Algebra Petros Drineas Rensselaer Polytechnic Institute Computer Science Department To access my web page: drineas RandNLA: sketch a matrix by row/ column sampling

More information

CHAPTER 2 LOAD FLOW ANALYSIS FOR RADIAL DISTRIBUTION SYSTEM

CHAPTER 2 LOAD FLOW ANALYSIS FOR RADIAL DISTRIBUTION SYSTEM 16 CHAPTER 2 LOAD FLOW ANALYSIS FOR RADIAL DISTRIBUTION SYSTEM 2.1 INTRODUCTION Load flow analysis of power system network is used to determine the steady state solution for a given set of bus loading

More information

CDS 101/110: Lecture 3.1 Linear Systems

CDS 101/110: Lecture 3.1 Linear Systems CDS /: Lecture 3. Linear Systems Goals for Today: Describe and motivate linear system models: Summarize properties, examples, and tools Joel Burdick (substituting for Richard Murray) jwb@robotics.caltech.edu,

More information

Numerical Methods I Non-Square and Sparse Linear Systems

Numerical Methods I Non-Square and Sparse Linear Systems Numerical Methods I Non-Square and Sparse Linear Systems Aleksandar Donev Courant Institute, NYU 1 donev@courant.nyu.edu 1 MATH-GA 2011.003 / CSCI-GA 2945.003, Fall 2014 September 25th, 2014 A. Donev (Courant

More information

Name: INSERT YOUR NAME HERE. Due to dropbox by 6pm PDT, Wednesday, December 14, 2011

Name: INSERT YOUR NAME HERE. Due to dropbox by 6pm PDT, Wednesday, December 14, 2011 AMath 584 Name: INSERT YOUR NAME HERE Take-home Final UWNetID: INSERT YOUR NETID Due to dropbox by 6pm PDT, Wednesday, December 14, 2011 The main part of the assignment (Problems 1 3) is worth 80 points.

More information

Spectral Processing. Misha Kazhdan

Spectral Processing. Misha Kazhdan Spectral Processing Misha Kazhdan [Taubin, 1995] A Signal Processing Approach to Fair Surface Design [Desbrun, et al., 1999] Implicit Fairing of Arbitrary Meshes [Vallet and Levy, 2008] Spectral Geometry

More information

Preconditioning Techniques for Large Linear Systems Part III: General-Purpose Algebraic Preconditioners

Preconditioning Techniques for Large Linear Systems Part III: General-Purpose Algebraic Preconditioners Preconditioning Techniques for Large Linear Systems Part III: General-Purpose Algebraic Preconditioners Michele Benzi Department of Mathematics and Computer Science Emory University Atlanta, Georgia, USA

More information

Computational Linear Algebra

Computational Linear Algebra Computational Linear Algebra PD Dr. rer. nat. habil. Ralf Peter Mundani Computation in Engineering / BGU Scientific Computing in Computer Science / INF Winter Term 2017/18 Part 2: Direct Methods PD Dr.

More information

ORIE 6334 Spectral Graph Theory October 13, Lecture 15

ORIE 6334 Spectral Graph Theory October 13, Lecture 15 ORIE 6334 Spectral Graph heory October 3, 206 Lecture 5 Lecturer: David P. Williamson Scribe: Shijin Rajakrishnan Iterative Methods We have seen in the previous lectures that given an electrical network,

More information

PDE Solvers for Fluid Flow

PDE Solvers for Fluid Flow PDE Solvers for Fluid Flow issues and algorithms for the Streaming Supercomputer Eran Guendelman February 5, 2002 Topics Equations for incompressible fluid flow 3 model PDEs: Hyperbolic, Elliptic, Parabolic

More information

CDS 101/110: Lecture 3.1 Linear Systems

CDS 101/110: Lecture 3.1 Linear Systems CDS /: Lecture 3. Linear Systems Goals for Today: Revist and motivate linear time-invariant system models: Summarize properties, examples, and tools Convolution equation describing solution in response

More information

Some of the different forms of a signal, obtained by transformations, are shown in the figure. jwt e z. jwt z e

Some of the different forms of a signal, obtained by transformations, are shown in the figure. jwt e z. jwt z e Transform methods Some of the different forms of a signal, obtained by transformations, are shown in the figure. X(s) X(t) L - L F - F jw s s jw X(jw) X*(t) F - F X*(jw) jwt e z jwt z e X(nT) Z - Z X(z)

More information

LOCALIZED SPARSIFYING PRECONDITIONER FOR PERIODIC INDEFINITE SYSTEMS

LOCALIZED SPARSIFYING PRECONDITIONER FOR PERIODIC INDEFINITE SYSTEMS COMMUN. MATH. SCI. Vol., No., pp. 7 7 c 7 International Press LOCALIZED SPARSIFYING PRECONDITIONER FOR PERIODIC INDEFINITE SYSTEMS FEI LIU AND LEXING YING Abstract. This paper introduces the localized

More information

Numerical Analysis: Solutions of System of. Linear Equation. Natasha S. Sharma, PhD

Numerical Analysis: Solutions of System of. Linear Equation. Natasha S. Sharma, PhD Mathematical Question we are interested in answering numerically How to solve the following linear system for x Ax = b? where A is an n n invertible matrix and b is vector of length n. Notation: x denote

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information