Lithography Issues in Nano Chip Design and Manufacture

Size: px
Start display at page:

Download "Lithography Issues in Nano Chip Design and Manufacture"

Transcription

1 Lithography Issues in Nano Chip Design and Manufacture Xuan Zeng, Jintao Xue and Wei Cai ASIC & System State Key Lab., Microelectronics Dept., Fudan Univerisity Jan. 7, 2007 Jan. 7, 2007 Challenges and Opportunities in Nano-Optics Workshop

2 Outline Introduction to IC Manufacture Problems for Lithography 3D Scattering Analysis in Lithography Simulation Stochastic Lithography Simulation OPC Optimization Algorithm 2

3 Introduction to IC (Integrated Circuit) Integration: billions of transistors Speed: Multi GHz Minimal transistor size : <65 nanometer Interconnects: up to 8,9 layers 3

4 Design System Specification Filter Transfer Function Circuit Design IC Design to Fabrication Flow H( s) = b 0 2 a0 + a1 s+ a2s bs+ b s + b s + b s b s 5 5 Layout Design Fabrication Mask Fabrication Silicon Fabrication 4

5 Lithography System Light Sources Mask Exposure System Wafer Source: Barry Lieberman, Intel 5

6 Subwavelength Lithography Lithography Wavelength is is much larger than the minimal geometry size of of design Micrometer Nanometer 6

7 Resolution Enhancement Solution Lithography wavelength is is much larger than the minimal geometry size of of design Litho wavelength: 248nm 7

8 Outline Introduction to IC Manufacture Problems for Lithography 3D Scattering Analysis in Lithography Simulation Stochastic Lithography Simulation OPC Optimization Algorithm 8

9 Problems for Lithography 3D Scattering Analysis in Lithography Simulation 3D optical lithography problems Mathematic Model Computational Methods 2D 3D Analysis Approach Proposed Research Issues 9

10 193nm Lithograhy system photoresist ILD resist substrate 3D scattering problems Patterning of light by mask with PSM Exposing of photoresists on nonplanar wafer surface Mask defect detection 2D diffraction imaging model (Hopkins imaging theory) OPC simulation for full chip 10

11 193nm Lithography Simulation for defect detection Without defect Source: Kevin D. Lucas With defect 11

12 13.5nm Extreme Ultra Violate Lithography Incident Wave Reflective Wave absorber Ta 100nm SiO 2 50nm Reflector 40 Si/Mo Si 4.1nm Mo 2.8nm Substrate Transmitted Wave 12

13 13.5nm Lithography Simulation for defect detection Distance Absorber Line Width: 48nm Particle Diameter: 10nm Source: Rafik Smaali 13

14 Problems for Lithography 3D Scattering Analysis in Lithography Simulation 3D optical lithography problems Mathematic Model Computational Methods 2D 3D Analysis Approach Proposed Research Issues 14

15 Rigorous 3D Scattering Analysis Model Incident Wave Layer 0 Layer 1 Layer 2 Layer 6 Layer 7 Transmitted Wave Discretize PSM into multi-layers in which dielectric function is uniform in z direction Glass Mask Multilayer 3D EM Analysis Incident Wave Reflective Wave Transmitted Wave 15

16 Problems for Lithography 3D Scattering Analysis in Lithography Simulation 3D optical lithography problems Mathematic Model Computational Methods 2D 3D Analysis Approach Proposed Research Issues 16

17 Rigorous 3D Scattering Analysis Existing Methods: Waveguide method Strowas 1992,1996 Rigorous coupled wave analysis Moharam1981, Smaali2006 Finite element method Burger 2005 Finite difference method Neureuther

18 Reference: Scattering Analysis K. Lucas, C. M. Yuan and A. Strowas, A rigorous and practical vector model for phase shifting masks in optical lithography, SPIE Optical/Laser Microlithography, Vol. 1674, pp , 1992 K. D. Lucas, H. Tanabe and A. J. Strowas, Efficient and rigorous three-dimensional model for optical lithography simulation, J. Opt. Soc. Am., Vol. 13(11), pp , 1996 A. K. Wong, R. Guerrieri, and A. R. Neureuther, Massively parallel electromagnetic simulation for photolithographic applications, IEEE Trans. on CAD, Vol. 14, No. 10, pp ,1995 M. G. Moharam and T. K. Gaylord, Rigorous coupled-wave analysis of planar-grating diffraction, J. Opt. Soc. Am., Vol. 71, No. 7, pp , 1981 S. Burger, R. Kohle, L. Zschiedrich and W. Gao etc., Benchmark of FEM, waveguide and FDTD algorithms for rigorous mask simulation, Proc. SPIE 5992, pages ,

19 Layer 0 Layer 1 Layer 2 Y Layer 6 Z Layer 7 E H // X v E ( x, z) = ye ˆ ( x, z) Glass Mask Lc On th layer, Helmholtz eq. 2D Waveguide Method E + k ε () x E = where k 1 Uniform fields and materials on Y direction 2 TE polarization: only E y exists 3 TM polarization is similar to TE problem = ωεµ Fields and materials are assumed periodic along X direction, and period is Lc, ε ( x) = ε exp( 2 ) where q i πqbx b= 1/ q Lc 19

20 2 E 2D Waveguide Method Using separation of variables E ( x, z) = X ( x) Z ( z) 2 X k 0 εq exp( i2 πqbx) + ( α ) X = 0 x q 2 Z z + k 2 0 ε ( x) E = 0 2 ( α ) Z = 0 2 ε ( x) = εq exp( i2 πqbx) q X (x) has the general form X ( x) = Bl exp( i2 πlbx) The eigenvalue problem is obtained for each layer D B l = (α 2 ) B B B L = M B L 20

21 For eigenvalue α m eigenfunction X m( x) = Bl, mexp( i2 π lbx) The electric field in th film, E L y X mz m m= L L = = 2D Waveguide Method L l= L m = m αm + m αm and Z ( z) A exp( z) A' exp( z)) L Amexp( αm( z z) A' mexp( αm( z z)) Bl mexp( i2 πlbx) ( + ), m= L l= L 21

22 2D Waveguide Method According to the interface conditions between different films E y E z = y E = + 1 y E z + 1 y The coefficients of the electric fields can be obtained, { A,0< 7, L L} l { A',0 < 7, L L} l 22

23 Layer 0 Layer 1 Layer 2 Y Layer 6 Z Layer 7 X Define Vector Potential Governing Equations in one layer Glass Mask v H = A v Lorentz Gauge = εφ In each layer, dielectric function is uniform in z direction Lc 3D Waveguide method (5) Fields and materials are various along X,Y,Z directions Maxwell Equation v v E = ikh (1) v v H = ikε E (2) v ε E = 0 (3) v µ H = 0 (4) 2 2 A A x y Ax + k εax (log ε)( + ) = 0 (16) x x y A 2 2 A x y Ay + k εay (log ε)( + ) = 0 (17) y x y A = 0 (18) z 23

24 3D Waveguide method 2 2 A A x y Ax + k εax (log ε)( + ) = 0 (16) x x y A 2 2 A x y Ay + k εay (log ε)( + ) = 0 (17) y x y A = 0 (18) z Similar to 2D waveguide method 1 Separation of variables A x = f ( x, y) Z ( z) (19) A =g ( x, y) Z ( z) (20) f f f g (log )( + ) = 0 (21) x y x x y α f k ε f ε 2 2 g g f g (log )( + ) = 0 (22) x y y x y α g k εg ε Z 2 z α Z 2 = y 0 (23) 24

25 3D Waveguide Method 3D waveguide method (similar to 2D waveguide method) 1 Separation of variables 2 Periodic conditions assumed along X, Y directions L M ε( xy, ) = ε exp[ i2 π( nbx+ pby)] (24) n= L p= M L M np, 1 2 f( x, y) = B exp[ i2 π ( lbx+ mb y)] (25) l= L m= M L M lm, 1 2 gxy (, ) = D exp[ i2 π ( lbx+ mby)] (26) l= L m= M lm, 1 2 substitute(24) (25) (26) into (21) (22), we get eigenvalue problem in one layer B B α (27) 2 [ ] = G D D For Z direction, Z(z)=C exp( αz) + C' exp(- α z) (28) 25

26 3D Waveguide Method 3D waveguide method (similar to 2D waveguide method) 3 A x, A y in one layer are obtained by eigenfunction expansions ' { } 2N L M A = C exp α ( z z ) + C exp α ( z z ) B exp[ i2 π( lbx+ mb y)] (29) x h h h h hl,, m 1 2 h= 1 l= Lm= M 2N L M ' { } A = C exp α ( z z ) + C exp α ( z z ) D exp[ i2 π( lbx+ mb y)] (30) y h h h h hl,, m 1 2 h= 1 l= Lm= M 4 The expansion coefficients { C, C ' h h} in A x, A y are decided by interface conditions between adacent layers (For example th, (+1) th layers) E = E, E = E H = H, H = H x x y y x x y y 26

27 Problems of Waveguide Method (I) v E ( x, z) = ye ˆ ( x, z) Glass Mask ε Layer 0 Layer 1 Layer 2 X X Layer 6 Layer 7 Z Lc In practice, mask structure is not periodic. Periodic boundary condition is employed along X direction, and what s its effect on the accuracy? 27

28 ε Problems of Waveguide Method (II) X m (x) Strong singularity in dielectric functions and eigenfunctions High order Fourier series employed to approximate each layer dielectric function and eigenfunctions. L ε ( x) = εq exp( i2 πqbx) Xm( x) = Bl, mexp( i2 πlbx) Waveguide method is cost intensive and difficult for complex structures X Dielectric function of one layer q X One eigenfunction corresponding to abruptly changed layer l= L 28

29 Problems for Lithography 3D Scattering Analysis in Lithography Simulation 3D optical lithography problems Mathematic Model Computational Methods 2D 3D Analysis Approach Proposed Research Issues 29

30 Challenges for 3D Scattering Analysis Causes of high computation cost Multilayer dielectric structure Singular mask structure large number of patterns in mask Extreme high computation cost TDFD based EUV (13.5nm) lithography simulation need 30 hours on a 2.8GHz PC for a via with 200nm long, 200nm wide and 320nm thick FDTD/FEM need massively parallel supercomputers Require large number of memory and time 30

31 Outline Introduction to IC Manufacture Problems for Lithography 3D Scattering Analysis in Lithography Simulation Stochastic Lithography Simulation OPC Optimization Algorithm 31

32 Stochastic Lithography Simulation Process Variations: systematic and stochastic variations Exposure dose variation Flare Variation Condenser aberration variation Mask error Proect Lens aberration variation How to solve stochastic PDE problem? Defocus variation 32

33 Reference: Stochastic Lithography Simulation S. Postnikov, K. Lucas, K. Wimmer, V. Ivin and A. Rogov, Monte Carlo method for highly efficient and accurate statistical lithography simulations, in Proc. SPIE, Vol., 4691, Optical Microlithography XV, A. Yen, Ed., pp , 2002 S. D. Hector, S. Postnikov and J. Cobb, Evaluation of the critical dimension control requirements in the ITRS using statistical simulation and error budges, in Proc. SPIE, Vol., 5377, Optical Microlithography XVII, ed., B. W. Smith, pp ,

34 Outline Introduction to IC Manufacture Problems for Lithography 3D Scattering Analysis in Lithography Simulation Stochastic Lithography Simulation OPC Optimization Algorithm 34

35 Optical Proximity Correction (OPC) Fab. Flow Without OPC Fab. Flow With OPC Layout Mask OPC Problem Silicon 35

36 Reference: 2D imaging model and OPC H. H. Hopkins, On the diffraction of Optical Images, Proc. Roy. Soc. A, Vol. 217, No.1130,pp ,1953 H. H. Hopkins, The concept of partial coherence in optics, Proc. Roy. Soc. A, Vol. 208, No. 1093,1951 N. Cobb, A. Zakhor, Fast, Low-Complexity Mask Design, SPIE Vol. 2440, 1994 N. Cobb, A. Zakhor, E. Miloslavsky, Mathematical and CAD Framework for Proximity Correction, SPIE Vol. 2726,

37 Fullchip OPC Model based OPC desired initial mask output mask Fragmentation Simulation OPC Controller mask pertubations Source: Nick Cobb 37

38 Fullchip OPC An example to optimize v Source: Nick Cobb 38

39 Challenges Exhausted time. Need 4-6 days on a cluster with 50 PCs (2.8GHz each) Exploded data. Need to deal with billions of shapes once iteration Potential solutions More efficient optimization algorithm? Novel OPC flows: cell-wise OPC Fullchip OPC 39

40 Cell-wise OPC A B A C B D A standard cell design C D B C D A Another standard cell design 40

41 A Cell Depends on its Neighbors Cellwise OPC NOR NAND NAND NOR BUFFER Source: Martin DF Wong 41

42 Boundary-Based Cellwise OPC Limiting boundary of standard cell helps cellwise OPC The aerial images outside a NOR logic gate (metal1) are shown here. The rightmost two column can be representative features for the cell Source: Martin DF Wong 42

43 Cellwise OPC Advantages More accurate than full-chip OPC (since it is a one-time-only computation so we can use long CPU time) Time is saved Predictable timing (since the delay of each OPC version of a cell can be pre-determined) Disadvantages Circuit dependent, the optical interactions with adacent cells are complex Does there exist a strategy to isolate the complex proximity effects? 43

44 Summary Challenges to Litho Simulation and OPC 3D Scattering Analysis Singularity and Non periodic mask structure Stochastic Lithography Simulation Fast stochastic PDE solution OPC Fast computation to correct billions of mask shapes for full chip 44

45 45

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Light-trapping by diffraction gratings in silicon solar cells

Light-trapping by diffraction gratings in silicon solar cells Light-trapping by diffraction gratings in silicon solar cells Silicon: a gift of nature Rudolf Morf, Condensed Matter Theory, Paul Scherrer Institute Benefits from improved absorption Diffraction gratings

More information

Improved Diffraction Computation with a Hybrid C-RCWA-Method

Improved Diffraction Computation with a Hybrid C-RCWA-Method Improved Diffraction Computation with a Hybrid C-RCWA-Method Joerg Bischoff, Timbre Technologies, INC. A TEL Company, 2953 Bunker Hill Lane #301, Santa Clara, California 95054, USA and Tokyo Electron Germany/

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

EE141- Spring 2003 Lecture 3. Last Lecture

EE141- Spring 2003 Lecture 3. Last Lecture - Spring 003 Lecture 3 IC Manufacturing 1 Last Lecture Design Metrics (part 1) Today Design metrics (wrap-up) IC manufacturing 1 Administrivia Discussion sessions start this week. Only one this week (Dejan

More information

Design of a Multi-Mode Interference Crossing Structure for Three Periodic Dielectric Waveguides

Design of a Multi-Mode Interference Crossing Structure for Three Periodic Dielectric Waveguides Progress In Electromagnetics Research Letters, Vol. 75, 47 52, 2018 Design of a Multi-Mode Interference Crossing Structure for Three Periodic Dielectric Waveguides Haibin Chen 1, Zhongjiao He 2,andWeiWang

More information

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography Lin Zschiedrich, Sven Burger, Achim Schädle, Frank Schmidt Zuse Institute Berlin, JCMwave GmbH NUSOD,

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 6: Electron-Beam Lithography, Part 2 Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm

More information

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA Novel Technique for Critical Dimension Measurements of Phase-shift Mass Using Broadband Transmittance Spectra in Conjunction with RCWA Alexander Gray University of California at Davis, CA John C. Lam and

More information

Finite Element Methods for Optical Device Design

Finite Element Methods for Optical Device Design DFG Research Center Matheon mathematics for key technologies and Zuse Institute Berlin Finite Element Methods for Optical Device Design Frank Schmidt Sven Burger, Roland Klose, Achim Schädle, Lin Zschiedrich

More information

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

Analysis of Defect in Extreme UV Lithography Mask Using a Modal Method Based on Nodal B-Spline Expansion

Analysis of Defect in Extreme UV Lithography Mask Using a Modal Method Based on Nodal B-Spline Expansion Analysis of Defect in Extreme UV Lithography Mas Using a Modal Method Based on odal B-Spline Expansion Kofi Edee, Patric Schiavone, Gérard Granet To cite this version: Kofi Edee, Patric Schiavone, Gérard

More information

Tooth-shaped plasmonic waveguide filters with nanometeric. sizes

Tooth-shaped plasmonic waveguide filters with nanometeric. sizes Tooth-shaped plasmonic waveguide filters with nanometeric sizes Xian-Shi LIN and Xu-Guang HUANG * Laboratory of Photonic Information Technology, South China Normal University, Guangzhou, 510006, China

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

1 The formation and analysis of optical waveguides

1 The formation and analysis of optical waveguides 1 The formation and analysis of optical waveguides 1.1 Introduction to optical waveguides Optical waveguides are made from material structures that have a core region which has a higher index of refraction

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 551 Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Y. Y. Li, P. F. Gu, M. Y. Li,

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society K5.6

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society K5.6 Mat. Res. Soc. Symp. Proc. Vol. 692 2002 Materials Research Society K5.6 Simulations of Realizable Photonic Bandgap Structures with High Refractive Contrast ABSTRACT Bonnie Gersten and Jennifer Synowczynski

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Photonic Crystals. Introduction

Photonic Crystals. Introduction Photonic Crystals Introduction Definition Photonic crystals are new, artificialy created materials, in which refractive index is periodically modulated in a scale compared to the wavelength of operation.

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 17, 2017 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Modeling microlenses by use of vectorial field rays and diffraction integrals

Modeling microlenses by use of vectorial field rays and diffraction integrals Modeling microlenses by use of vectorial field rays and diffraction integrals Miguel A. Alvarez-Cabanillas, Fang Xu, and Yeshaiahu Fainman A nonparaxial vector-field method is used to describe the behavior

More information

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC

FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC FINITE-DIFFERENCE FREQUENCY-DOMAIN ANALYSIS OF NOVEL PHOTONIC WAVEGUIDES Chin-ping Yu (1) and Hung-chun Chang (2) (1) Graduate Institute of Electro-Optical Engineering, National Taiwan University, Taipei,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 19, 2016 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Computer-Aided Design for Manufacturability

Computer-Aided Design for Manufacturability Computer-Aided Design for Manufacturability Michael Orshansky UC Berkeley From DSM to DFM A new layer of difficulties on top of the complex physics of DSM Advanced manufacturing introduces new challenges

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

GRATING CLASSIFICATION

GRATING CLASSIFICATION GRATING CLASSIFICATION SURFACE-RELIEF GRATING TYPES GRATING CLASSIFICATION Transmission or Reflection Classification based on Regime DIFFRACTION BY GRATINGS Acousto-Optics Diffractive Optics Integrated

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Generating Bessel beams by use of localized modes

Generating Bessel beams by use of localized modes 992 J. Opt. Soc. Am. A/ Vol. 22, No. 5/ May 2005 W. B. Williams and J. B. Pendry Generating Bessel beams by use of localized modes W. B. Williams and J. B. Pendry Condensed Matter Theory Group, The Blackett

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Self-assembled nanostructures for antireflection optical coatings

Self-assembled nanostructures for antireflection optical coatings Self-assembled nanostructures for antireflection optical coatings Yang Zhao 1, Guangzhao Mao 2, and Jinsong Wang 1 1. Deaprtment of Electrical and Computer Engineering 2. Departmentof Chemical Engineering

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

An improved transfer-matrix model for optical superlenses

An improved transfer-matrix model for optical superlenses An improved transfer-matri model for optical superlenses Ciaran P. Moore, 1 Richard J. Blaikie, 1,* and Matthew D. Arnold 2 1 MacDiarmid Institute for Advanced Materials and Nanotechnology, Department

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Lecture #39. Transistor Scaling

Lecture #39. Transistor Scaling Lecture #39 ANNOUNCEMENT Pick up graded HW assignments and exams (78 Cory) Lecture #40 will be the last formal lecture. Class on Friday will be dedicated to a course review (with sample problems). Discussion

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

IN THE literature, significant research has been focused on

IN THE literature, significant research has been focused on 552 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance Brian E. Stine, Duane S. Boning,

More information

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 6, Ver. I (Nov.-Dec. 2017), PP 23-28 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Process-Simulation-Flow And Metrology

More information

Johnson, N.P. and Khokhar, A.Z. and Chong, H.M.H. and De La Rue, R.M. and McMeekin, S. (2006) Characterisation at infrared wavelengths of metamaterials formed by thin-film metallic split-ring resonator

More information

Surface Plasmon Polariton Assisted Metal-Dielectric Multilayers as Passband Filters for Ultraviolet Range

Surface Plasmon Polariton Assisted Metal-Dielectric Multilayers as Passband Filters for Ultraviolet Range Vol. 112 (2007) ACTA PHYSICA POLONICA A No. 5 Proceedings of the International School and Conference on Optics and Optical Materials, ISCOM07, Belgrade, Serbia, September 3 7, 2007 Surface Plasmon Polariton

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Automatic hotspot classification using pattern-based clustering

Automatic hotspot classification using pattern-based clustering Automatic hotspot classification using pattern-based clustering Ning Ma *a, Justin Ghan a, Sandipan Mishra a, Costas Spanos a, Kameshwar Poolla a Norma Rodriguez b, Luigi Capodieci b a University of California

More information

S-matrix approach for calculations of the optical properties of metallic-dielectric photonic crystal slabs

S-matrix approach for calculations of the optical properties of metallic-dielectric photonic crystal slabs S-matrix approach for calculations of the optical properties of metallic-dielectric photonic crystal slabs N. I. Komarevskiy1,2, T. Weiss3, and S. G. Tikhodeev2 1 Faculty of Physics, Lomonosov Moscow State

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling 233 Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling Martin D. Giles AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT Advanced integrated circuit processing requires

More information

Adaptive spatial resolution: application to surface plasmon waveguide modes

Adaptive spatial resolution: application to surface plasmon waveguide modes Optical and Quantum Electronics (26) Springer 26 DOI 1.17/s1182-6-91-3 Adaptive spatial resolution: application to surface plasmon waveguide modes peter debackere, peter bienstman, roel baets Photonics

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION METROLOGY TABLE OF CONTENTS Scope... 1 Infrastructure Needs... 2 Difficult Challenges... 2 Technology Requirements... 4 Measurements for

More information

Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines

Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines Progress In Electromagnetics Research Symposium 2006, Cambridge, USA, March 26-29 115 Effects from the Thin Metallic Substrate Sandwiched in Planar Multilayer Microstrip Lines L. Zhang and J. M. Song Iowa

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2012 Lecture 08 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Outline: Photonic crystals 2 1. Photonic crystals vs electronic

More information

Simulations of nanophotonic waveguides and devices using COMSOL Multiphysics

Simulations of nanophotonic waveguides and devices using COMSOL Multiphysics Presented at the COMSOL Conference 2010 China Simulations of nanophotonic waveguides and devices using COMSOL Multiphysics Zheng Zheng Beihang University 37 Xueyuan Road, Beijing 100191, China Acknowledgement

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Overview. 1. What range of ε eff, µ eff parameter space is accessible to simple metamaterial geometries? ``

Overview. 1. What range of ε eff, µ eff parameter space is accessible to simple metamaterial geometries? `` MURI-Transformational Electromagnetics Innovative use of Metamaterials in Confining, Controlling, and Radiating Intense Microwave Pulses University of New Mexico August 21, 2012 Engineering Dispersive

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Sub-wavelength electromagnetic structures

Sub-wavelength electromagnetic structures Sub-wavelength electromagnetic structures Shanhui Fan, Z. Ruan, L. Verselegers, P. Catrysse, Z. Yu, J. Shin, J. T. Shen, G. Veronis Ginzton Laboratory, Stanford University http://www.stanford.edu/group/fan

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling L13 04202017 ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling Scaling laws: Generalized scaling (GS) p. 610 Design steps p.613 Nanotransistor issues (page 626) Degradation

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information