A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints

Size: px
Start display at page:

Download "A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints"

Transcription

1 A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC constraints Ashish Hari, Sulabh Kumar Khare Accellera Systems Initiative 1

2 Agenda SOC implementation challenge with CDC paths Typical Design flow in presence of CDC paths Limitations of traditional methods Proposed automatic CDC constraints generation method Interpreting SDC constraints from CDC infromation Results Conclusions Accellera Systems Initiative 2

3 SOC implementation challenge with CDC paths Increasing System Integration Increasing Peripherals and External Interfaces Complex Power Management for Low Power More clocks More number of Domain crossing paths Increased effort for SOC implementation Time to Market Compromised Incorrect constraints Causing Chip failures

4 Typical Design flow in presence of CDC paths RTL Setup netlist Synthesis SDC Maximum delay requirement of CDC paths violated STA False Negative slack for CDC paths netlist SDC Place and Route Synchronizer flops placements issues netlist SDC 4

5 Limitations of Traditional methods Rely on manual work to define constraints for CDC paths Error prone Iterative and time consuming No single place to capture CDC intent in constraints CDC paths generate false errors Constraints added to ignore these paths Some CDC paths may never be captured May escape unconstrained Accellera Systems Initiative 5

6 Proposed flow: Automatic generation of CDC constraints SDC (Synopsys Design constraints) is standard for capturing design constraints Extend CDC tool to generate CDC constraints for Implementation tools in SDC format Avoid multiple iterations due to manual constraints Ensures CDC paths are handled correctly in synthesis, STA and place & Route Accellera Systems Initiative 6

7 Inputs and Outputs of CDC tools RTL Constraints CDC Verification DB Reports SDC Takes RTL and Constraints as input Once CDC verification is complete: Complete CDC constraints are available Accellera Systems Initiative 7

8 Proposed automatic CDC constraints generation flow RTL CDC SDC Setup Start with CDC constraints as part of SDC Synthesis netlist SDC STA CDC Paths constraints: Correct by construction netlist SDC Place and Route netlist SDC Accellera Systems Initiative 8

9 Interpreting SDC from CDC information Components of CDC to capture: 1. Clock and clock groups 2. Port constraints 3. False path (CDC crossing) 4. Constants 5. Maximum delay for synchronizer flops Each component can be specified using SDC commands Accellera Systems Initiative 9

10 SDC for CDC constraints: Clocks Defining clocks Defining asynchronous clock domains create_clock : Specifies primary Clocks create_generated_clock : Specifies derived clocks Infer all clocks as synchronous by default. Asynchronous domain categorization - set_clock_groups -asynchronous group <clocks> create_clock -name CLK_11 -period 90 -waveform { 0 45 } { CLK_11 } create_clock -name PHY_rx_clk -period 90 -waveform { 0 45 } { PHY_rx_clk } set_clock_groups -asynchronous -group { CLK_11 } group { CLK_12 } set_clock_groups -asynchronous -group { PHY_rx_clk } Accellera Systems Initiative 10

11 SDC for CDC constraints: Primary ports Constraining primary ports in correct clock domains May need to edit for actual delay Primary port constraints set_input_delay set_output_delay set_input_delay 0 -clock [get_clocks { PCLK_I }] [get_ports { DBG_rd_data }] set_input_delay 0 -clock [get_clocks { PCLK_I }] [get_ports { EE_addr_in_hw }] set_output_delay 0 -clock [get_clocks { PCLK_I }] [get_ports { HIF_tx_rx }] set_output_delay 0 -clock [get_clocks { PCLK_I }] [get_ports { HIF_tx_stat_vec_en }] Accellera Systems Initiative 11

12 SDC for CDC constraints: Constants Constraining constants Netlist constant Mode signals CLK1 Constant Port Information (Mode Signals) set_case_analysis set_logic_zero set_logic_one CLK2 Select set_case_analysis 0 { TEST_EN } set_case_analysis 0 { SCAN_EN } Accellera Systems Initiative 12

13 SDC for CDC constraints: False Paths Specify False Paths for Clock domain crossing paths Clock Domain Crossing paths CLK Tx Tx Rx Rx1 set_false_path from TX to RX CLK Rx set_false_path -from { HIF.HIF_OPI.MIB.MIB_bssid_5_2[15:8] } -to { MAC_CORE.MSCAN.MSCAN_CTRL.PS_bg_preauth_sleep_int_mode } -through { HIF.HIF_OPI.MIB.MIB_bssid[31:24] HIF.HIF_OPI.MIB_bssid[31:24] HIF.MIB_bssid[31:24] MAC_CORE.MIB_bssid[31:24] } Accellera Systems Initiative 13

14 SDC for CDC constraints: Maximum delay Constraining synchronizer for max delay requirement delay < 1 RX clk cycle Tx Rx Clock Domain Crossing paths set_max_delay Rx Rx 1 set_max_delay -from { HIF.MIB.MIB_bssid_5_2[15:8] } -to { MAC_CORE.MSCAN.sleep_int_mode } [get_attribute CLK_11 period] Accellera Systems Initiative 14

15 Results Traditional Method of CDC constraints identification Run Clocks False paths (CDC) Timing Not met Not met MHz 0 Number of negative slack paths Proposed Method to automatically generate CDC constraints Run Clocks False paths (CDC) Timing Number of negative slack paths MHz 0 Accellera Systems Initiative 15

16 Conclusion Easy and Reliable Setup with SDC Iterative nature of traditional methods is eliminated Acceptable constraints in initial run itself Automatic generations of constraints in standard format Avoid mistakes due to manual work Experiments with proposed methodology highlight all these benefits on customer designs. Accellera Systems Initiative 16

17 Questions Accellera Systems Initiative 17

Constraining and Analyzing Source-Synchronous Interfaces

Constraining and Analyzing Source-Synchronous Interfaces Constraining and Analyzing Source-Synchronous Interfaces December 2007, ver. 2.0 Application Note 433 Introduction This application note describes techniques for constraining and analyzing source-synchronous

More information

Synthesis: Timing Constraints. 1

Synthesis: Timing Constraints. 1 Synthesis: Timing Constraints talarico@gonzaga.edu 1 Synthesizing a Design talarico@gonzaga.edu 2 Synthesizing a Design Recommended readings for in depth understanding of how to constrain and synthesize

More information

7. The Quartus II TimeQuest Timing Analyzer

7. The Quartus II TimeQuest Timing Analyzer November 2013 QII53018-13.1.0 7. The Quartus II TimeQuest Timing Analyzer QII53018-13.1.0 The Quartus II TimeQuest Timing Analyzer is a powerful ASIC-style timing analysis tool that validates the timing

More information

Design for Variability and Signoff Tips

Design for Variability and Signoff Tips Design for Variability and Signoff Tips Alexander Tetelbaum Abelite Design Automation, Walnut Creek, USA alex@abelite-da.com ABSTRACT The paper provides useful design tips and recommendations on how to

More information

My Head Hurts, My Timing Stinks, and I Don t Love On-Chip Variation

My Head Hurts, My Timing Stinks, and I Don t Love On-Chip Variation My Head Hurts, My Timing Stinks, and I Don t Love On-Chip Variation Matt Weber Silicon Logic Engineering, Inc matt@siliconlogic.com ABSTRACT Abstract: Some ASIC vendors require designers to run static

More information

Digital VLSI Design. Lecture 8: Clock Tree Synthesis

Digital VLSI Design. Lecture 8: Clock Tree Synthesis Digital VLSI Design Lecture 8: Clock Tree Synthesis Semester A, 2018-19 Lecturer: Dr. Adam Teman January 12, 2019 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were

More information

A Random Walk from Async to Sync. Paul Cunningham & Steev Wilcox

A Random Walk from Async to Sync. Paul Cunningham & Steev Wilcox A Random Walk from Async to Sync Paul Cunningham & Steev Wilcox Thank You Ivan In the Beginning March 2002 Azuro Day 1 Some money in the bank from Angel Investors 2 employees Small Office rented from Cambridge

More information

Issues on Timing and Clocking

Issues on Timing and Clocking ECE152B TC 1 Issues on Timing and Clocking X Combinational Logic Z... clock clock clock period ECE152B TC 2 Latch and Flip-Flop L CK CK 1 L1 1 L2 2 CK CK CK ECE152B TC 3 Clocking X Combinational Logic...

More information

Constraining Designs for Synthesis and Timing Analysis

Constraining Designs for Synthesis and Timing Analysis Constraining Designs for Synthesis and Timing Analysis Sridhar Gangadharan Sanjay Churiwala Constraining Designs for Synthesis and Timing Analysis A Practical Guide to Synopsys Design Constraints (SDC)

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 9 Sections 9-1 thru 9-5 2009 Pearson Education, Upper 2008 Pearson Saddle River, Education NJ 07458. All Rights Reserved ET285 Agenda Week 2 Quiz 0: Covered

More information

Programmable Logic Devices II

Programmable Logic Devices II Lecture 04: Efficient Design of Sequential Circuits Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br Prof. Marcos Moecke moecke@ifsc.edu.br 1 / 94 Reference These slides are based on the material made

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Chapter 7 Sequential Logic

Chapter 7 Sequential Logic Chapter 7 Sequential Logic SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} March 28, 2016 Table of Contents 1 Intro 2 Bistable Circuits 3 FF Characteristics

More information

Sequential Logic Design: Controllers

Sequential Logic Design: Controllers Sequential Logic Design: Controllers Controller Design, Flip Flop Timing Copyright (c) 2012 Sean Key Standard Controller Architecture Controller A circuit that implements a FSM is referred to as a controller

More information

Clocked Synchronous State-machine Analysis

Clocked Synchronous State-machine Analysis Clocked Synchronous State-machine Analysis Given the circuit diagram of a state machine: Analyze the combinational logic to determine flip-flop input (excitation) equations: D i = F i (Q, inputs) The input

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals CHAPTER 8 Counters Slide 2 Counting

More information

Proofs of Correctness: Introduction to Axiomatic Verification

Proofs of Correctness: Introduction to Axiomatic Verification Proofs of Correctness: Introduction to Axiomatic Verification Introduction Weak correctness predicate Assignment statements Sequencing Selection statements Iteration 1 Introduction What is Axiomatic Verification?

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code George Mason University Required reading P. Chu, FPGA Prototyping by VHDL Examples

More information

Methodology From Chaos in IC Implementation

Methodology From Chaos in IC Implementation Methodology From Chaos in IC Implementation Kwangok Jeong 1 and Andrew B. Kahng 1,2 1 ECE and 2 CSE Departments, University of California at San Diego, La Jolla, CA, USA kjeong@vlsicad.ucsd.edu, abk@cs.ucsd.edu

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

Metastability. Introduction. Metastability. in Altera Devices

Metastability. Introduction. Metastability. in Altera Devices in Altera Devices May 1999, ver. 4 Application Note 42 Introduction The output of an edge-triggered flipflop has two valid states: high and low. To ensure reliable operation, designs must meet the flipflop

More information

CHAPTER 9: SEQUENTIAL CIRCUITS

CHAPTER 9: SEQUENTIAL CIRCUITS CHAPTER 9: ASYNCHRONOUS SEUENTIAL CIRCUITS Chapter Objectives 2 Sequential circuits that are not snchronized b a clock Asnchronous circuits Analsis of Asnchronous circuits Snthesis of Asnchronous circuits

More information

Designing Sequential Logic Circuits

Designing Sequential Logic Circuits igital Integrated Circuits (83-313) Lecture 5: esigning Sequential Logic Circuits Semester B, 2016-17 Lecturer: r. Adam Teman TAs: Itamar Levi, Robert Giterman 26 April 2017 isclaimer: This course was

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS5 J. Wawrzynek Spring 22 2/22/2. [2 pts] Short Answers. Midterm Exam I a) [2 pts]

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

ECE 5775 (Fall 17) High-Level Digital Design Automation. Scheduling: Exact Methods

ECE 5775 (Fall 17) High-Level Digital Design Automation. Scheduling: Exact Methods ECE 5775 (Fall 17) High-Level Digital Design Automation Scheduling: Exact Methods Announcements Sign up for the first student-led discussions today One slot remaining Presenters for the 1st session will

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 8 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 ounting in Binary As you know, the binary

More information

PALCE22V10 and PALCE22V10Z Families

PALCE22V10 and PALCE22V10Z Families PALCE22V10 PALCE22V10Z COM'L: H-5/7/10/15/25,Q-10/15/25 IND: H-10/15/20/25 COM'L: -25 IND: -15/25 PALCE22V10 and PALCE22V10Z Families 24-Pin EE CMOS (Zero Power) Versatile PAL Device DISTINCTIVE CHARACTERISTICS

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

Repor4ng Quality of Results

Repor4ng Quality of Results Analyzing Timing A,er you set the 4ming constraints such as clocks, input delays, and output delays, it is a good idea to use the check_4ming command to check for 4ming setup problems and 4ming condi4ons

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation How to create designs with Dynamic/Adaptive Voltage Scaling Roy H. Liu National Semiconductor orporation What you will learn from this session Design challenges with variable voltage level Design partitioning

More information

Synchronous Sequential Circuit

Synchronous Sequential Circuit Synchronous Sequential Circuit The change of internal state occurs in response to the synchronized clock pulses. Data are read during the clock pulse (e.g. rising-edge triggered) It is supposed to wait

More information

Reliability Assessment Electric Utility Mapping. Maged Yackoub Eva Szatmari Veridian Connections Toronto, October 2015

Reliability Assessment Electric Utility Mapping. Maged Yackoub Eva Szatmari Veridian Connections Toronto, October 2015 Reliability Assessment Electric Utility Mapping Maged Yackoub Eva Szatmari Veridian Connections Toronto, October 2015 Agenda Introduction About Veridian Connections Veridian s GIS platform Reliability

More information

Constrained Clock Shifting for Field Programmable Gate Arrays

Constrained Clock Shifting for Field Programmable Gate Arrays Constrained Clock Shifting for Field Programmable Gate Arrays Deshanand P. Singh Dept. of Electrical and Computer Engineering University of Toronto Toronto, Canada singhd@eecg.toronto.edu Stephen D. Brown

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty ECE Department The University of Texas at Austin Austin, TX 78703, USA ashutosh@cerc.utexas.edu David Z. Pan ECE Department The University

More information

ALU, Latches and Flip-Flops

ALU, Latches and Flip-Flops CSE14: Components and Design Techniques for Digital Systems ALU, Latches and Flip-Flops Tajana Simunic Rosing Where we are. Last time: ALUs Plan for today: ALU example, latches and flip flops Exam #1 grades

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation 1 Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation Junghee Lee and Joonhwan Yi Telecommunication R&D Center Samsung Electronics {junghee77.lee, joonhwan.yi} @ samsung.com

More information

Algorithms for Constrained Optimization

Algorithms for Constrained Optimization 1 / 42 Algorithms for Constrained Optimization ME598/494 Lecture Max Yi Ren Department of Mechanical Engineering, Arizona State University April 19, 2015 2 / 42 Outline 1. Convergence 2. Sequential quadratic

More information

EET 310 Flip-Flops 11/17/2011 1

EET 310 Flip-Flops 11/17/2011 1 EET 310 Flip-Flops 11/17/2011 1 FF s and some Definitions Clock Input: FF s are controlled by a trigger or Clock signal. All FF s have a clock input. If a device which attempts to do a FF s task does not

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Takumi Okamoto NEC Corporation 75 Shimonumabe, Nakahara-ku, Kawasaki, Kanagawa -8666, Japan okamoto@ct.jp.nec.com Tsutomu Kimoto Naotaka

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum 991215 Skrivtid 4 timmar Tentamen i Beräkningsstrukturer Antal uppgifter: 6 Max poäng: 30 Betygsgränser: >20 poäng 4 >25 poäng 5 Betygsgränser kan

More information

Timing Analysis with Clock Skew

Timing Analysis with Clock Skew , Mark Horowitz 1, & Dean Liu 1 David_Harris@hmc.edu, {horowitz, dliu}@vlsi.stanford.edu March, 1999 Harvey Mudd College Claremont, CA 1 (with Stanford University, Stanford, CA) Outline Introduction Timing

More information

Lecture #4: Potpourri

Lecture #4: Potpourri Lecture #4: Potpourri Paul Hartke Phartke@stanford.edu Stanford EE183 April 15, 2002 Tutorial/Verilog Questions? Tutorial is mostly done, right? Due tonight at Midnight (Mon 4/14/02) Turn in copies of

More information

Using Global Clock Networks

Using Global Clock Networks Using Global Clock Networks Introduction Virtex-II devices support very high frequency designs and thus require low-skew advanced clock distribution. With device density up to 0 million system gates, numerous

More information

DVClub Europe Formal fault analysis for ISO fault metrics on real world designs. Jörg Große Product Manager Functional Safety November 2016

DVClub Europe Formal fault analysis for ISO fault metrics on real world designs. Jörg Große Product Manager Functional Safety November 2016 DVClub Europe Formal fault analysis for ISO 26262 fault metrics on real world designs Jörg Große Product Manager Functional Safety November 2016 Page 1 11/27/2016 Introduction Functional Safety The objective

More information

Roger L. Tokheim. Chapter 8 Counters Glencoe/McGraw-Hill

Roger L. Tokheim. Chapter 8 Counters Glencoe/McGraw-Hill Digital Electronics Principles & Applications Sixth Edition Roger L. Tokheim Chapter 8 Counters 2003 Glencoe/McGraw-Hill INTRODUCTION Overview of Counters Characteristics of Counters Ripple Up Counter

More information

Strain Measurement Techniques for Composite Coupon Testing

Strain Measurement Techniques for Composite Coupon Testing Strain Measurement Techniques for Composite Coupon Testing Introduction Characterization of the properties of anisotropic and inhomogeneous composite materials for use in demanding structural applications

More information

PrepTest. October 1996 Logic Games Setups. Chapter Twenty: October 1996 Logic Games Setups

PrepTest. October 1996 Logic Games Setups. Chapter Twenty: October 1996 Logic Games Setups PrepTest October 1996 Logic Games Setups Chapter Twenty: October 1996 Logic Games Setups 305 PrepTest. October 1996 Game #1: 1. A 2. A 3. D 4. A 5. B This is an Advanced Linear: Unbalanced: Underfunded

More information

Problem Formulation for Arch Sim and EM Model

Problem Formulation for Arch Sim and EM Model Problem Formulation for Arch Sim and EM Model 1 Problem Formulation 1.1 System Description The system consists of M modules, each module has L wire segments. The wire segments are routed in same or different

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Sequential Logic Stephen A. Edwards Columbia University Summer 2017 State-Holding Elements Bistable Elements S Latch Latch Positive-Edge-Triggered Flip-Flop Flip-Flop with

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Written exam with solutions IE1204/5 Digital Design Monday 23/

Written exam with solutions IE1204/5 Digital Design Monday 23/ Written exam with solutions IE204/5 Digital Design Monday 23/0 207 4.00-8.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist Exam text has to be returned when you hand in your

More information

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders EECS 427 Lecture 14: Timing Readings: 10.1-10.3 EECS 427 F09 Lecture 14 1 Reminders CA assignments Please submit CA6 by tomorrow noon CA7 is due in a week Seminar by Prof. Bora Nikolic SRAM variability

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Failure detectors Introduction CHAPTER

Failure detectors Introduction CHAPTER CHAPTER 15 Failure detectors 15.1 Introduction This chapter deals with the design of fault-tolerant distributed systems. It is widely known that the design and verification of fault-tolerent distributed

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

Lab #10: Design of Finite State Machines

Lab #10: Design of Finite State Machines Lab #10: Design of Finite State Machines ECE/COE 0501 Date of Experiment: 3/1/2017 Report Written: 3/4/2017 Submission Date: 3/15/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

Stop Watch (System Controller Approach)

Stop Watch (System Controller Approach) Stop Watch (System Controller Approach) Problem Design a stop watch that can measure times taken for two events Inputs CLK = 6 Hz RESET: Asynchronously reset everything X: comes from push button First

More information

Chapter 5 CMOS Logic Gate Design

Chapter 5 CMOS Logic Gate Design Chapter 5 CMOS Logic Gate Design Section 5. -To achieve correct operation of integrated logic gates, we need to satisfy 1. Functional specification. Temporal (timing) constraint. (1) In CMOS, incorrect

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

Next Generation Design and Verification Today New Developments in UPF 3.0

Next Generation Design and Verification Today New Developments in UPF 3.0 Next Generation Design and Verification Today New Developments in 3.0 Erich Marschner, Vice-Chair, IEEE P1801 WG P1801: IEEE-SA Entity Based Work Group 2014 ARM Ltd 2 IEEE 1801 () timeline -1.0-1.0 New

More information

These are special traffic patterns that create more stress on a switch

These are special traffic patterns that create more stress on a switch Myths about Microbursts What are Microbursts? Microbursts are traffic patterns where traffic arrives in small bursts. While almost all network traffic is bursty to some extent, storage traffic usually

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

P2 (10 points): Given the circuit below, answer the following questions:

P2 (10 points): Given the circuit below, answer the following questions: P1 (10 points): Given the function f(a, b, c, d) = m(3,4,5,10,14) + D(6,7): A: Fill in the timing diagram for f. B: Implement f using only 2-1 MUXes. Your circuit should not include more than four 2-1

More information

MILITARY SPECIFICATION MICROCIRCUITS, DIGITAL, BIPOLAR, SCHOTTKY TTL, FLIP-FLOPS, CASCADABLE, MONOLITHIC SILICON

MILITARY SPECIFICATION MICROCIRCUITS, DIGITAL, BIPOLAR, SCHOTTKY TTL, FLIP-FLOPS, CASCADABLE, MONOLITHIC SILICON INCH-POUND 2 November 2005 SUPERSEDING MIL-M-38510/71C 23 July 1984 MILITARY SPECIFICATION MICROCIRCUITS, DIGITAL, BIPOLAR, SCHOTTKY TTL, FLIP-FLOPS, CASCADABLE, MONOLITHIC SILICON This specification is

More information

PC100 Memory Driver Competitive Comparisons

PC100 Memory Driver Competitive Comparisons Fairchild Semiconductor Application Note March 1999 Revised December 2000 PC100 Memory Driver Competitive Comparisons Introduction The latest developments in chipset and motherboard design have taken memory

More information

Digital Electronics Final Examination. Part A

Digital Electronics Final Examination. Part A Digital Electronics Final Examination Part A Spring 2009 Student Name: Date: Class Period: Total Points: /50 Converted Score: /40 Page 1 of 13 Directions: This is a CLOSED BOOK/CLOSED NOTES exam. Select

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Sequential Circuits Sequential circuits combinational circuits state gate delay

Sequential Circuits Sequential circuits combinational circuits state gate delay Sequential Circuits Sequential circuits are those with memory, also called feedback. In this, they differ from combinational circuits, which have no memory. The stable output of a combinational circuit

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

Elliptic Curve Group Core Specification. Author: Homer Hsing

Elliptic Curve Group Core Specification. Author: Homer Hsing Elliptic Curve Group Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 March 4, 2012 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Revision History Rev.

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

or 0101 Machine

or 0101 Machine Synchronous State Graph or Synchronous State Graph or Detector Design a state graph for a machine with: One input X, one output Z. Z= after receiving the complete sequence or Overlapped sequences are detected.

More information