PHYS-E0424 Nanophysics Lecture 2: Lithography

Size: px
Start display at page:

Download "PHYS-E0424 Nanophysics Lecture 2: Lithography"

Transcription

1 PHYS-E0424 Nanophysics Lecture 2: Lithography 1

2 Course Overview 19/9 Nanophysics: An introduction 26/9 Nanofabrication: Lithography 3/10 Nanofabrication: Self-organization 10/10 Nanoscale characterization: Microscopy, diffraction etc. 17/10 Physics of nanoscale objects: Carbon nanostructures 24/10 No lecture 31/10 Visit to Murata (MEMS manufacturer in Vantaa) 7/11 Nanoelectronics 14/11 Nanomagnetism 21/11 Spintronics 28/11 Student presentations on nanophysics topic 5/12 Student presentations on nanophysics topic 2

3 Course Organization No exercises and exam Instead: - Attendance - Weekly article on lecture topic - Essay on a nanophysics topic - Topics will be provided, but can also be suggested by students - Submission deadline for essay: Short presentation on nanophysics topic (about 15 minutes) 3

4 Weekly Article on Lecture Topic - Article will be sent to students on the lecture day (at the latest) - Students read article - Students send to sebastiaan.van.dijken@aalto.fi on Friday before the next lecture (at the latest) with: (a) A question about the lecture or article or (b) A comment or suggestion related to the lecture or article or (c) Additional material about the lecture topic For example: news item, article, video, cartoon, experiment, own research result In the beginning of the next lecture a selection of responses will be discussed 4

5 PHYS-E0424 Nanophysics Lecture 2: Lithography 5

6 Lithography Lithography (from Greek: λίθος lithos, stone + γράφω grapho, to write ) is a means for transferring patterns onto a substrate Lithography stone with Princeton University motif Kathryn Polk, The Legacy 6

7 Lithography methods Lithography is top down approach for patterning of micro- and nanostructures Bottom up approach : Self-organization (lecture 3) - Photolithography - Extreme UV lithography - X-ray lithography - Electron beam lithography - Focussed ion beam (FIB) lithography - Nanoimprint lithography - Scanning probe microscopy (SPM) lithography 7

8 Photolithography Patterns are transferred from a glass mask to a photoresist layer using exposure to UV light + removal of the weak areas in the photoresist layer by wet chemical development Typical photolithography steps 1. Wafer cleaning and drying 2. Wafer priming (promotes adhesion of resist coating) 3. Spin coating of photoresist 4. Soft bake (80-100ºC, reduces thickness of resist and decreases development rate) 5. Exposure to UV light through optical mask 6. Hard bake (optional) (removes more solvent) 7. Development of resist (removes weak areas in photoresist layer) 8. Hard bake (optional) (hardens photoresist, removes remaining traces of developer) 8

9 Resist 3 types of photoresist - Positive: Pattern in resist is the same as mask. Exposure to UV light degrades the polymer and this enhances the solubility of the photoresist in developers. - Negative: Pattern in resist is the inverse of the mask. Exposure to UV light polymerises the photoresist which makes it harder to dissolve in developers. - Combination: Resist that can be used for both positive and negative pattern transfers. light light positive resist negative resist 9

10 Positive Resist - Mostly used in ICT industry - Superior to negative photoresist - No swelling during development - Higher resolution - Resistant against plasma processing Primary components of positive photoresist - Non-photosensitive resin: glue-like compound that is solid in its undiluted state (usually novolac). - Solvent: Liquid chemical that is used to dissolve the resin. Allows the resin to be applied in a liquid state (spin-coating). E.g.: n-butyl acetate, xylene, 2-ethoxyethyl acetate. - Photoactive compound (PAC): The PAC inhibits dissolution in positive resists before exposure to light. After exposure, the PAC promotes dissolution of the resin. 10

11 Negative Resist - Unexposed areas of resist are dissolved during development. - Negative photoresist developers swell the resist, allowing for uncross-linked polymer chains to untangle and wash away. - Swelling of the resist during development is the largest contributor to loss of features and linewidth limitations. Primary components of negative photoresist - Non-photosensitive resin: About 80% of solid content. - Photosensitive cross-linking agent: About 20% of solid content. - Solvent: Liquid chemical that is used to dissolve the solid components. Usually a mixture of n-butyl acetate, n-hexyl acetate, and 2-butanol. Fraction varies. 11

12 Resist Sensitivity and Contrast The most important parameters characterizing a resist layer are sensitivity and contrast - Sensitivity: Intensity of radiation (dose) required to cause a sufficient modification of the resist to ensure that the desired pattern appears at the development stage. - Contrast: Measure of the solubility rate of the resist in its developer. Small features are transferred better when the contrast of the resist is large. Contrast ( ) 1 D log D

13 Photolithography Processing Two primary techniques to generate a pattern by photolithography - Etching: After exposure/development of the resist, the film/wafer is etched. Subsequent stripping of the resist layer leaves a pattern in the film/wafer. - Wet chemical etching - Plasma etching - Reactive ion etching - Ion milling - Lift-off: After exposure/development of the resist, a film is deposited onto the wafer. Subsequent stripping of the resist layer leaves a pattern in the film. 13

14 Etching resist film wafer thin film deposition and spin coating of resist film wafer exposure and development of resist wafer etching (dry/wet) wafer removal of resist - Photoresist has same polarity as final film - Resist never touches the substrate wafer 14

15 Lift-off resist wafer spin coating of resist exposure and development of resist wafer wafer deposition of film wafer removal of resist - Photoresist has opposite polarity as final film - Excess film never touches the substrate wafer 15

16 Etching Parameters Etching is characterized by - Etch rate (nm/minute) - Selectivity (S): Difference in etch rate of materials in a multilayer stack. High selectivity can be used as an etch stop. - Anisotropy (A): Difference in vertical and lateral etch rate. Lateral Etch Rate A 1 Vertical Etch Rate - Under cut: Difference between the line width in the resist and in film/wafer. If 0.8 m lines result from 1 m photoresist lines, the etch bias equals 0.1 m. 16

17 Etching Techniques - Wet chemical etching: Removes material by chemical reactions in a liquid (e.g. HF for SiO2) - Advantages: Cheap, limited damage due to pure chemical nature, high selectivity. - Disadvantages: Low anisotropy, temperature sensitivity, chemical disposal issues, difficult to use with small feature (bubbles etc). - Plasma etching: Removes material by the creation of a plasma. A plasma is a gaseous collection of ions, energetic molecules, and neutral gas species that is created by the application of electromagnetic fields. (e.g. CF 4 for Si) - Advantages: Can be selective, moderate anisotropy control. - Disadvantages: Ion damage, residue. - Reactive ion etching (RIE): Removes material by the creation of a plasma at lower pressure. - Advantages: Can be selective, high anisotropy control. - Disadvantages: High ion damage, residue. - Ion milling: Removes material by ion bombardment (e.g. Ar). - Advantages: Extremely anisotropic, independent of material composition. - Disadvantages: Very high ion damage, nonselective, residue. 17

18 Exposure 3 different systems for UV exposure - Contact: Mask is in contact with resist during exposure. - Advantages: Inexpensive equipment, moderately high resolution (0.5 m). - Disadvantages: Contact with resist wears mask, particles and dirt are directly imaged in the resist, loss of planarization results in non-uniform resolution, no magnification. - Proximity: Mask is almost in contact with resist during exposure. - Advantages: Inexpensive equipment, less wear on mask. - Disadvantages: Diffraction effects limit accuracy of pattern transfer (low resolution of 1-2 m, less repeatable than contact method, no magnification. - Projection: Mask image is projected and de-magnified to a smaller image (1:4 1:10). - Advantages: High resolution (0.065 m or better), almost no mask wear, mask defects or particles on mask are reduced in size on the wafer. - Disadvantages: Expensive and complicated equipment. 18

19 Resolution in Near Field (1) Near Field (contact or proximity configuration) Fresnel diffraction limit 2 W 1 g W W + W W W g D 19

20 Resolution in Near Field (2) Near Field (proximity configuration) Effect of increasing gap between mask and wafer If g 2 W The minimum feature size that can be resolved is W min k g where k is a constant that depends on the photoresist and development procedure ( 1). 20

21 Resolution in Far Field Far Field (projection configuration) Fraunhofer diffraction limit 2 W 1 g R 1.22 d f NA R is diameter of central peak d immersion technology R f 21

22 Depth of Focus Depth of Focus ( ): 2 NA large NA small NA - Increasing the numerical aperture (NA) will increase the resolution, but it decreases the depth of focus. - The depth of focus must be larger than the variations in surface height on the processed wafer. 22

23 Stepper Systems Projection optics - Can produce image smaller than object. - Lens does not have sufficient resolution to project image over whole wafer (300 mm diameter in ICT industry). - pixel count : field size/r min2. E.g. 1 cm 2 /(0.5 m) 2 = 4 x Requires mechanical translation steps of wafer under optics. wafer on stepping stage source mask condensing optics imaging optics 23

24 Comparison of Photolithography Systems 24

25 Resolution Enhancement R 1.22 d f NA Increase NA: NA nsin( max ) - Highly purified water between lens and wafer (emersion technology). Maximum NA is 1.0 for air and 1.3 for water. - Improved optics Decrease : - Mercury I line sources (365 nm) - ArF excimer lasers (193 nm) currently in use in industry - F 2 excimer lasers (157 nm) under development 25

26 Optical Lithography System parameters NA (nm) / / /157 node (nm) field size (mm x mm) depth of focus ( m) 20 x x x x x x

27 Extreme UV Lithography - = 13.4 nm - Reflective optics and masks - Low reflectivity of mirrors ( 70%) requires intense sources. - Requires resist that is sensitive to extreme UV radiation - Operation under vacuum conditions to reduce radiation absorption - High cost 27

28 Extreme UV Lithography 28

29 X-Ray Lithography - = nm - Low level of diffraction - Not sensitive to dust or other organic contaminants - No suitable optics for projection lithography - Sources: proximity lithography instead - Laser-plasma, electron bombardment (point source, divergent beam) - Synchrotron (parallel beam) 29

30 X-Ray Sources Penumbra effect - Finite size of source results in a blurring effect due to beam divergence - Magnification of this effect depends on the gap between the mask and the wafer (typically m) gs D : blur size S: source size D: distance between source and mask G: distance between mask and wafer 30

31 X-Ray Resist and Mask Resist - Low absorption coefficient - Resolution is determined by photoelectrons and/or Auger electrons that are emitted during absorption of photons in the resist - For 1-nm photons the emitted electrons have a mean free path of a few tens of nanometers Mask - Membrane mask that is transparent to x-rays - Combination of opaque (heavy elements such as Au) and transparent (e.g. BN) materials - Pattern written by e-beam lithography - Planarity and fragility problems 31

32 Summary - Photolithography - Resist - Lift-off/Etching - Extreme UV lithography ( = 13.4 nm) - Reflective optics - X-ray lithography ( = nm) - Proximity lithography - Membrane mask 32

33 Books and Review Articles - The Science and Engineering of Microelectronic Fabrication Stephan A. Cambell - Fundamental Principles of Optical Lithography Chris Mack Video: ASML 33

34 Lithography methods Electron beam lithography 34

35 E-beam Lithography Lithography technique that uses an electron beam to write a pattern into a resist layer Setup consists of a scanning electron microscope (SEM) + e-beam lithography software (deflection control) 35

36 E-beam Sources and Spot Size E-beam sources - Thermionic emitters: Electrons are boiled off the surface by providing enough thermal energy to overcome the work function of the emitter - Field emitters: The application of a large electric field lowers the surface barrier and this results in quantum mechanical tunneling of electrons (field emission) - Photo emitters: Incident radiation provides enough energy to photo-electrons near the surface to initiate emission Electrons that are emitted are collimated or focused and accelerated to an energy of several tens of kv (typically kv) Wavelength: (nm) 1.22 E(eV) E = 20 kev = nm Spot size: 1 10 nm E-beam facility at Micronova 36

37 E-beam Resolution Factors that determine the resolution - Electron energy (electron scattering, penetration depth, secondary electrons) - Minimum current ( 5-10 pa) - Sensitivity of resist ( C/cm 2 ) - Resolution of the D/A card (16 bits = points) - Speed of the D/A card (minimum dwell time, 5 10 s) For example: PMMA sensitivity: 300 C/cm 2 Current: 10 pa Dwell time: 10 s Then, the area per pixel is (10 pa x 10 s)/300 C/cm 2 = 3 x cm 2 or 3 x 10-4 m 2 Step size: (3 x 10-4 m 2 ) 0.5 = 1.7 x 10-2 m or 17 nm 37

38 E-beam Writing Time For example: Write 100 m x 100 m area Current: 10 pa Dwell time: 10 s Area per pixel: 3 x 10-4 m 2 Then, total number of pixels is about 3 x 10 7 Total writing time: 3 x 10 7 x 10 s = 300 seconds Trade-off between resolution and writing time Small current provides high resolution, but long writing time (drift) Solution: write large area structures at high current (e.g. electrodes) write small structures with small current (e.g. actual nanodevice) 38

39 E-beam Resist Resist types: - Positive: Polymer chains are cut when exposed to the e-beam. Examples: PMMA (poly methyl methacrylate), EBR-9 (acrylate based resist), PBS (Poly butene-1-sulphone), ZEP (copolymer of chloromethacrylate and methylstyrene). - Negative: Interchain links are formed during e-beam exposure. Examples: COP (epoxy copolymer of glycidyl, methacrylate and ethyl acrylate), Shipley SAL (consists of 3 componenets; base polymer, acid generator, and crosslinking agent). After e-beam writing, (1) the resist is dissolved in a liquid solvent and (2) a film is lift-off or a film/substrate is etched (similar to photolithography processing). 39

40 Substrate Electron-Beam Interactions Exposed area e-beam spot size - Forward scattering: Broadening of electron beam in resist layer, film, and substrate due to elastic and in-elastic scattering events. - Backscattering: Electrons scatter back from the film or substrate into the resist layer. - Secondary electrons: High-energy electrons generate secondary electrons. D. Kyser and N.S. Viswanathan, J.Vac.Sci.Technol. 12, 1305 (1975) 40

41 Proximity Effect Proximity effect: The dose at a given point depends on the density of pattern features at that point. 41

42 Proximity Effects Strategies to limit proximity effects - Use of low electron energies: This can only be done at the expense of resolution, since the beam divergence due to forward scattering will increase. Also, due to chromatic aberrations, it is more difficult to focus a low energy beam. - Use of high electron energies: Backscattered electrons are diluted over a larger area, which limits their effect on the dose. - Dose calculations of patterns: Calculations can be used to locally correct the dose. Increases the complexity and required long computation times. - Use of resist that is only sensitive to high energies: Back-scattered and secondary electrons have lower energy than the initial beam. If the resist is not sensitive at lower energies, it will not suffer from exposure to back-scattered and secondary electrons. Resolution of e-beam lithography with PMMA resist is about 10 nm 42

43 Projection E-Beam Lithography Advantages of projection e-beam lithography: Much faster and larger writing areas than direct writing e-beam lithography Challenges of projection e-beam lithography Masking: Because of the high level of electron absorption in matter, it is difficult to find a suitable masking material that would have adequate contrast and transparency to allow reasonable exposures and still have the mechanical stability needed for repeated use. 43

44 Lithography methods Focussed ion beam (FIB) lithography 44

45 Focused Ion Beam (FIB) Lithography A high-energy ion beam is used to remove material from a film or substrate (resist not necessary). Direct writing (no mask) and ion projection lithography (stencil mask) techniques are in use. The ion beam is also used for high-resolution imaging. Here, the multichannel plate (MCP) is used to collect secondary particles. Ion sources: Liquid metal ion sources (LMIS). Available materials: Al, As, Au, B, Be, Cs, Cu, Ga, Ge, Er, Fe, H, In, Li, Ni, P, Pb, Pd, Pr, Pt, Si, Sn, U, and Zn. In FIB systems an large electric field (about 7 kv) is used to extract positively charged ion from a liquid Ga cone, which is formed on top of a W needle. Minimum spot size < 10 nm S. Reyntjens and R. Puers, J.Micromech. Microeng. 11, 287 (2001) 45

46 Focused Ion Beam (FIB) Imaging Milling Deposition S. Reyntjens and R. Puers, J.Micromech. Microeng. 11, 287 (2001) 46

47 Ion-Target Interaction Ion-target interactions can result in swelling, deposition, sputtering, redeposition, implantation, backscattering or nuclear reactions. For milling applications, sputtering of atoms near the surface is crucial. If the transfer of energy from the incoming ion to the surface atom exceeds the binding energy of the surface atom (3.8 ev for Au and 4.7 ev for Si), the atom is ejected as result. Sputtering yield (number of ejected atoms per incident ion (typically 1-50) depends on: - Mass of ion and target atom - Ion energy - Incident angle - Target temperature - Ion flux 30 kv As ions into Au 90 kv As ions into Au A.A.Tseng, J.Micromech. Microeng. 14, R15 (2004) 47

48 FIB Milling Electrical isolation in circuits by cutting metal lines It is possible to monitor the milling process using end point detection. The end point detector measures secondary electrons that are emitted during FIB milling. The yield of secondary electrons is material specific. Gas-assisted etching (GEA): Enhancement of the milling rate or material selectivity by the insertion of an etching gas S. Reyntjens and R. Puers, J.Micromech. Microeng. 11, 287 (2001) 48

49 Deposition Localized deposition of metals and insulators is possible using FIB instrumentation (CVDlike deposition technique) Process: (1) Precursor gas is sprayed onto the surface using a fine nozzle, (2) the ion beam decomposes the adsorbed precursor gas, (3) the volatile reaction products desorb from the surface and are removed through the vacuum system, while the desired reaction products (Pt, W, SiO 2 ) remain fixed on the surface as a thin film. The deposited material is not fully pure (contains organic contaminants and Ga + ion) The smallest features that can be deposited using FIB are of the order of 100 nm W line on a cylindrical surface S. Reyntjens and R. Puers, J.Micromech. Microeng. 11, 287 (2001) 3.3 m thick SiO 2 49

50 TEM Sample Preparation TEM samples prepared by FIB Kaito of Seiko Instruments Inc. S. Reyntjens and R. Puers, J.Micromech. Microeng. 11, 287 (2001) 50

51 FIB Milling of SPM Tips High-resolution AFM tips prepared by FIB 51

52 Ion Projection Lithography Ion projection lithography: - Much faster and larger writing areas than direct milling technique - Light ions such as H +, H 2+, or He + are used (limits mask erosion and heating) - Stencil mask consisting of a Si membrane - Resists like PMMA are used (similar processing steps as e-beam lithography) - Resist-less processing is possible with heavier ions - Disadvatages: Ion implantation, ion radiation can generate defects in the exposed film or substrate Ion proximity lithography Ion projection lithography J. Melngailis, J. Vac Sc. Technol. B 16, 927 (1998) 52

53 Lithography methods Nanoimprint lithography 53

54 Nanoimprint Lithography (NIL) High throughput, high-resolution parallel patterning method in which a surface pattern of a stamp is replicated into a material by mechanical contact and three-dimensional material displacement. Process: (1) Mold with nanoscale surface-relief features is pressed into a resist layer on a substrate at controlled temperature and pressure, (2) the mold is removed, (3) a plasma etch is used to remove residual layer and complete pattern definition, and (4) the substrate is patterned by standard lithography processes (etching/lift-off). NIL process steps Mold with 10 nm features Imprinted holes in PMMA S.Y. Chou et al., J. Vac. Sci. Technol. B 15, 2897 (1997) 54

55 Nanoimprint Lithography Molds - The mold is a solid material with high strength and durability (Si, SiO 2, SiC, SiN, metals, sapphire, etc) - Thermal expansion coefficient of the mold material is important because the imprint step typically requires a temperature of 70-90ºC - Thermal mismatch between the mold and the substrate can result in pattern distortions or stress build-up during the cooling cycle (Si mold on Si substrate makes a good pair) - The mold is fabricated using other lithography techniques (e.g. photo, e-beam, FIB) or NIL L.J. Guo, Adv. Mater. 19, 495 (2007) 55

56 Nanoimprint Lithography Resist - The resist materials used in imprinting should be easily deformable under an applied pressure, should have sufficient mechanical strength, and should maintain their structural integrity during the de-molding process - The resist material should have a lower Young s modulus than the mold and the pressure required to perform the imprint should be higher than the sheer modulus of the polymer - To complete the imprinting process within a practical timeframe, the resist material should have sufficiently low viscosity - Thermal plastic resists: Low Young s modulus and viscosity are obtained by raising the temperature of the polymer above its glass transition temperature (70-90ºC) Poly(benzylmethacrylate Poly(cyclohexyl acrylate) 10 days after imprinting L.J. Guo, J. Phys. D 37, R123 (2004) 56

57 NIL with UV-Curable Resist Step-and-flash imprint lithography (SFIL) - Room temperature processing possible due to the use of UV-curable resist - The resist is a multicomponent solution containing a photoinitiator, Si to provide O 2 -RIE resistance, a monomer to allow crosslinking, and a low-weight monomer to reduce the viscosity - Process: (1) The resist is dispensed on the substrate, (2) a transparent mold is pressed into the resist, (3) UV light is used to polymerize the resist, and (4) the mold is removed. X. Cheng et al., Adv. Mater. 17, 1419 (2005) D. Stewart et al., J. Microlith, Microfabr, Microsyst 4, (2005) 57

58 Lithography methods Scanning probe microscopy (SPM) lithography 58

59 Scanning Probe Microscopy (SPM) Lithography Scanning tunnelling microscopy (STM) Heinrich Rohrer Gerd Binnig First tool to image surfaces with atomic resolution G. Binnig and H. Rohrer, Rev. Mod. Phys. 71, s324 (1999) 1986 Nobel Prize in Physics (developed in 1981) 59

60 Scanning Tunnelling Microscopy (STM) Tungsten STM tip vacuum ev F tip sub. F T Tunneling probability (Simmons formula) E) exp 2d 2 ( 2 2m F ev E 2 60

61 Scanning Tunnelling Microscopy (STM) Si(111)-7x7 surface 61

62 STM Lithography - Resist exposure - STM-induced oxidation - Material deposition - Material removal (decomposition) and etching (in acid solutions) - Manipulation of single atoms 62

63 STM Lithography Resist Exposure - STM current modifies resist locally (write pattern into resist layer (e.g. PMMA)) - Lift-off or etching step Au-Pd pattern after lift-off (positive resist) RIE-etched pattern in GaAs (negative resist) M.A. McCord et al., J.Vac.Sci.Technol. B 6, 293 (1988) R.K. Marrian et al., J.Vac.Sci.Technol. B 10, 2877 (1992) 63

64 STM Lithography Oxidation - Diffusion enhanced oxidation in the tip-substrate area - Electric field accelerates oxidation process in the presence of oxygen - Demonstrated for Si, Ti, Cr, and Si 3 N 4 Oxidation of a Ti line using STM under ambient conditions K. Matsumoto et al., J.Vac.Sci.Technol. B 14, 1331 (1996) 64

65 STM Lithography Material Deposition - STM tip acts as miniature emission source - Atoms or nanoparticles are transferred from the tip to the target surface by the application of a voltage Deposition of Pt dots and lines using a Pt STM tip and voltage pulses A. Houel et al., J.Vac.Sci.Technol. B 20, 2337 (2002) 65

66 STM Lithography Material Removal and Etching - Materials can be removed by e-beam induced thermal decomposition in the field emission regime (e.g. SiO 2 on Si) - Semiconductors can be etched in a controlled way on a nanometer scale by using STM in the presence of chemical solutions SiO 2 removal using field emission from a STM tip Etched pattern in GaAs using STM in a dilute (0.05%) HFsolution H. Iwasaki et al., Nanotechnology 14, R55 (2003) L.A. Nagara et al., Appl. Phys. Lett. 57, 270 (1990) 66

67 STM - Manipulation of Single Atoms Tip - sample interaction modes - Pulling: The tip is positioned above an adatom and the brought down towards the surface, which increases the tunnel current. The tip is then moved horizontally, which decreases the tunnel current until the adatom hops towards the tip while remaining on the surface. - Pushing: Similar to pulling, but now the tip approaches the adatom horizontally. The tunnel current increases until the tip repels the adatom forward. - Sliding: The forces between the tip and the adatom are attractive. As the tip approaches the adatom, the tunnel current increases and the adatom jumps onto the tip and remains there. The adatom can be dropped at an accurately defined position by retraction of the tip. Pulling Pushing Sliding 67

68 STM - Manipulation of Single Atoms Xe on Ni(110) Fe on Cu(111) 68

69 STM - Manipulation of Single Atoms Kanji characters for atom : Fe on Cu(111) CO on Pt(111) 69

70 Scanning Probe Microscopy (SPM) Lithography Atomic force microscopy (AFM) normal force Contact mode: - Tip is in contact with the surface. - Measures the surface topography by keeping the deflection z constant, related to the force by F=k z - Allows access to lateral force by the deflection x. lateral force 70

71 AFM Lithography - Resist exposure (similar to STM lithography) - Thermally induced modification - Tip-induced oxidation (similar to STM lithography) - Material deposition (direct transfer or precursor decomposition) - Material removal by scratching - Dip-pen nanolithography 71

72 AFM Lithography Thermally Induced Modification - Imprint technique using heated AFM tips ( ºC) IBM Millipede computer memory based on nanoscopic pits burned into a thin polymer layer H.J. Mamin, Appl. Phys. Lett. 69, 433 (1996) 72

73 AFM Lithography Material Removal by Scratching - Mechanical material removal by direct tip scratching (metals, oxides, semiconductors) - In some cases the scratch step is followed by an etch step to prevent damage to the substrate Scratches in GaSb/InAs heterostructure Electrodeposited Pd lines on scratched + HF-etched SiO 2 /Si R. Magno, Appl. Phys. Lett. 70, 1855 (1997) J. Michler et al., Electrochem. Solid-State Lett. 7, A41 (2004) 73

74 AFM Lithography Dip-Pen Lithography - AFM tip is coated with a thin film of ink molecules - A water meniscus between the tip and the substrate acts as a bridge over which the molecules migrate R.D. Piner, Science 283, 661 (1999) Examples of molecular printing on a Au substrate 74

75 Summary - Electron beam lithography - Direct writing into resist and projection lithography - Proximity effects - Focussed ion beam (FIB) lithography - Direct writing and projection lithography - Ion implantation (defects) - Nanoimprint lithography - Scanning probe microscopy (SPM) lithography 75

76 Books and Review Articles - Focused Ion Beam Applications to Solid State Devices Shinji Matsui and Yukinori Ochiai, Nanotechnology 7, 247 (1996) - A Review of Ion Projection Lithography J. Melngailis, J. Vac. Sci. Technol. B 16, 927 (1998) - A Review of Focused Ion Beam Applications in Microsystem Technology, J. Micromech. Microeng. 11, 287 (2001) - Recent Developments in Micromilling Using Focused Ion Beam Technology Ampere A. Tseng, J. Micromech. Microeng. 14, R15 (2004) - Nanoimprint Lithography: Methods and Material Requirements L. Jay Guo, Adv. Mater. 19, 495 (2007) - Nanoimprint Lithography: An Old Story in Modern Times? A Review Helmut Schhift, J. Vac. Sci. Technol. B 26, 458 (2008) - Nanofabrication by Scanning Probe Microscopy Lithography: A Review Ampere A. Tseng et al., J. Vac. Sci. Technol. B 26, 458 (2008) 76

77 This Week s Articles 77

78 Next Lecture 3/10 Nanofabrication: Self-organization 78

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Focused Ion Beam Nanofabrication

Focused Ion Beam Nanofabrication Focused Ion Beam / Focused Electron Beam NT II - 2007 Focused Ion Beam Nanofabrication Nanotechnology for Engineers : J. Brugger (LMIS-1) & P. Hoffmann (IOA) Nova 600 NANOLAB (FEI) Dual-Beam Instrument

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Nano Materials. Nanomaterials

Nano Materials. Nanomaterials Nano Materials 1 Contents Introduction Basics Synthesis of Nano Materials Fabrication of Nano Structure Nano Characterization Properties and Applications 2 Fabrication of Nano Structure Lithographic techniques

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman General concept and defining characteristics of AFM Dina Kudasheva Advisor: Prof. Mary K. Cowman Overview Introduction History of the SPM invention Technical Capabilities Principles of operation Examples

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 09 Atsufumi Hirohata Department of Electronics 13:00 Monday, 12/February/2018 (P/T 006) Quick Review over the Last Lecture ( Field effect transistor (FET) ): ( Drain ) current increases

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools 1. Introduction Solid Surfaces Analysis Group, Institute of Physics, Chemnitz University of Technology, Germany 2. Limitations of Conventional Optical Microscopy 3. Electron Microscopies Transmission Electron

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor From nanophysics research labs to cell phones Dr. András Halbritter Department of Physics associate professor Curriculum Vitae Birth: 1976. High-school graduation: 1994. Master degree: 1999. PhD: 2003.

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

SOLID STATE PHYSICS PHY F341. Dr. Manjuladevi.V Associate Professor Department of Physics BITS Pilani

SOLID STATE PHYSICS PHY F341. Dr. Manjuladevi.V Associate Professor Department of Physics BITS Pilani SOLID STATE PHYSICS PHY F341 Dr. Manjuladevi.V Associate Professor Department of Physics BITS Pilani 333031 manjula@bits-pilani.ac.in Characterization techniques SEM AFM STM BAM Outline What can we use

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform MS&T 10, October 18, 2010 Vladimir Mancevski, President and CTO, Xidex Corporation Philip D. Rack, Professor, The University of

More information

Quantum Condensed Matter Physics Lecture 12

Quantum Condensed Matter Physics Lecture 12 Quantum Condensed Matter Physics Lecture 12 David Ritchie QCMP Lent/Easter 2016 http://www.sp.phy.cam.ac.uk/drp2/home 12.1 QCMP Course Contents 1. Classical models for electrons in solids 2. Sommerfeld

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Chemistry Instrumental Analysis Lecture 34. Chem 4631

Chemistry Instrumental Analysis Lecture 34. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 34 From molecular to elemental analysis there are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Electron beam scanning

Electron beam scanning Electron beam scanning The Electron beam scanning operates through an electro-optical system which has the task of deflecting the beam Synchronously with cathode ray tube which create the image, beam moves

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Scanning Tunneling Microscopy

Scanning Tunneling Microscopy Scanning Tunneling Microscopy References: 1. G. Binnig, H. Rohrer, C. Gerber, and Weibel, Phys. Rev. Lett. 49, 57 (1982); and ibid 50, 120 (1983). 2. J. Chen, Introduction to Scanning Tunneling Microscopy,

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 11: Scanning Probe Microscopy. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 11: Scanning Probe Microscopy. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 11: Scanning Probe Microscopy Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Nanolithography Techniques

Nanolithography Techniques Nanolithography Techniques MSE 505 / MSNT 505 P. Coane Outline What Is Nanotechnology? The Motivation For Going Small Nanofabrication Technologies Basic Techniques Nano Lithography NANOTECHNOLOGY Nanotechnology

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan Single ion implantation for nanoelectronics and the application to biological systems Iwao Ohdomari Waseda University Tokyo, Japan Contents 1.History of single ion implantation (SII) 2.Novel applications

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Scanning Tunneling Microscopy. how does STM work? the quantum mechanical picture example of images how can we understand what we see?

Scanning Tunneling Microscopy. how does STM work? the quantum mechanical picture example of images how can we understand what we see? Scanning Tunneling Microscopy how does STM work? the quantum mechanical picture example of images how can we understand what we see? Observation of adatom diffusion with a field ion microscope Scanning

More information

Scanning Probe Microscopy (SPM)

Scanning Probe Microscopy (SPM) http://ww2.sljus.lu.se/staff/rainer/spm.htm Scanning Probe Microscopy (FYST42 / FAFN30) Scanning Probe Microscopy (SPM) overview & general principles March 23 th, 2018 Jan Knudsen, room K522, jan.knudsen@sljus.lu.se

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de yang.xu@tu-ilmenau.de

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies B. Özyilmaz a, G. Richter, N. Müsgens, M. Fraune, M. Hawraneck, B. Beschoten b, and G. Güntherodt Physikalisches

More information

Nanoscale Issues in Materials & Manufacturing

Nanoscale Issues in Materials & Manufacturing Nanoscale Issues in Materials & Manufacturing ENGR 213 Principles of Materials Engineering Module 2: Introduction to Nanoscale Issues Top-down and Bottom-up Approaches for Fabrication Winfried Teizer,

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM Chapter 9 Electron mean free path Microscopy principles of SEM, TEM, LEEM 9.1 Electron Mean Free Path 9. Scanning Electron Microscopy (SEM) -SEM design; Secondary electron imaging; Backscattered electron

More information