Graphene Pellicles. Richard van Rijn

Size: px
Start display at page:

Download "Graphene Pellicles. Richard van Rijn"

Transcription

1 Graphene Pellicles Richard van Rijn

2 Applied Nanolayers Scalable automated produc;on of high quality graphene up to 200 mm wafer sizes by CVD 2DM (graphene and related materials) supplier of choice Strong verifiable automated quality assurance Robust high volume supply chain Focus on applica;ons and integra;on with CMOS Global 2DM device foundry 2

3 Technology Produc'on of high quality graphene on 200 mm wafers using epitaxial metal films Developed fully automated produc'on pla>orm engineered for lowest cost per cm 2 Pla>orm and method compa'ble with h-bn and other 2D materials 4

4 Wafer scale graphene up to 200mm Mono-Pure Graphene SEM Raman 5

5 Technology Produc;on of high quality graphene on 200 mm wafers using epitaxial metal films Developed fully automated produc;on platorm engineered for lowest cost per cm 2 PlaTorm and method compa;ble with h-bn and other 2D materials Wafer to wafer transfer up to 200 mm Fully mechanical transfer Full automa'on 6

6 Wafer to wafer transfer 2 mm 50 um 7

7 Technology Produc;on of high quality graphene on 200 mm wafers using epitaxial metal films Developed fully automated produc;on platorm engineered for lowest cost per cm 2 PlaTorm and method compa;ble with h-bn and other 2D materials Wafer to wafer transfer up to 200 mm Fully mechanical transfer Full automa;on Automated quality control on 200 mm Raman spectroscopy Op'cal microscopy 8

8 Applica;ons Semiconductor Biotech MEMS Defense & space EUV pellicle Ac+ve devices Sensors Optoelectronics RF Thin membranes Gene sensing Biocompa+ble coa+ng Bio I/O Low fric+on layer Flexible membrane Sensing Lab on chip Devices & sensors Advanced sensing Solar power Membranes BEOL integra+on PlaDorm substrate BEOL integra+on Advanced pladorms 9

9 Graphene pellicles BoMom up construc+on > build membrane layer by layer using wafer to wafer transfer Advantages Precise control over the number of layers Heterostructures: incorporate other layers to improve pellicle proper;es (h-bn) Control interlayer orienta;on No need to thin membrane Supported ANL graphene proven to be resistant to EUV + H 2 > 24h Challenge Integra;on with pellicle support structure 10

10 Raman quality control for mono and mul;layer graphene Uniform crack-free transfer on >cm-size domains for both 1L and ML. Stronger G peak on ML à stronger scacering à more material present. 11

11 Raman quality control for mono and mul;layer graphene Uniform crack-free transfer on >cm-size domains for both 1L and ML. Stronger G peak on ML à stronger scacering à more material present. Negligible D peak à low defect density also on ML Small change width G/2D peak à negligible interac;on between layers. 12

12 Suspended single-layer graphene drums over cavi;es SEM of single layer graphene suspended over a 1 μm diameter hole on SiO 2 13

13 Suspended mul;-layer graphene drums over cavi;es SEM of mul;layer graphene suspended over a 20 μm diameter hole on SiO 2 14

14 Suspended mul;-layer graphene drums over meshes SEM of mul;layer graphene suspended over a mesh 15

15 Suspended mul;-layer graphene over 2.5 mm window Transmission microscopy of mul;layer graphene suspended over a frame 16

16 Suspended mul;-layer graphene over 2.5 mm window Raman data at two points over the suspended membrane Clear G and 2D peak à graphene is present G > 2D à mul;layer graphene Small D peak à low defect density 17

17 Next steps Increase membrane to full size (ANL) Incorporate other materials (ANL) Frame integra;on (with partners) Membrane characteriza;on (with partners) 18

18 Thank you Applied Nanolayers B.V. Tarweweg AM Nijmegen The Netherlands Paul Hedges Chief Execu've Officer M +31 (0) p.hedges@appliednanolayers.com Dr. Richard van Rijn Chief Technology Officer M +31 (0) r.van.rijn@appliednanolayers.com 19

Marcus Klein, SURAGUS GmbH

Marcus Klein, SURAGUS GmbH RPGR2017 21.09.2017 Singapore Marcus Klein, SURAGUS GmbH SURAGUS as company and as EU Gladiator Challenges for industrial Graphene applications Example application graphene as TCM Quality characteristics

More information

Graphene Fundamentals and Emergent Applications

Graphene Fundamentals and Emergent Applications Graphene Fundamentals and Emergent Applications Jamie H. Warner Department of Materials University of Oxford Oxford, UK Franziska Schaffel Department of Materials University of Oxford Oxford, UK Alicja

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

GRAPHENE ON SILICON TECHNOLOGY FOR ADVANCED POWER SEMICONDUCTOR DEVICES

GRAPHENE ON SILICON TECHNOLOGY FOR ADVANCED POWER SEMICONDUCTOR DEVICES GRAPHENE ON SILICON TECHNOLOGY FOR ADVANCED POWER SEMICONDUCTOR DEVICES Mohd Rofei Mat Hussin MIMOS Semiconductor Sdn. Bhd. (MSSB) Email: rofei@mimos.my 09 November 2016 1 Outlines 1. Overview on Power

More information

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES www.2dfun.eu 2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES FLAG-ERA JTC 2015 PROJECT KICK-OFF APRIL 13, 2016, BUDAPEST, HUNGARY, PROJECT COORDINATOR 2D MATERIALS GRAPHENE AND BEYOND VISION AND

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Beatrice Beyer ISFOE 2014 Thessaloniki, Greece

Beatrice Beyer ISFOE 2014 Thessaloniki, Greece Beatrice Beyer ISFOE 2014 Thessaloniki, Greece What? Graphene which is both highly conductive and transparent Large volume production Process safety Proof of concept for use as transparent electrode Why?

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

Transparent Electrode Applications

Transparent Electrode Applications Transparent Electrode Applications LCD Solar Cells Touch Screen Indium Tin Oxide (ITO) Zinc Oxide (ZnO) - High conductivity - High transparency - Resistant to environmental effects - Rare material (Indium)

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 CVD growth of Graphene SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 Graphene zigzag armchair History 1500: Pencil-Is it made of lead? 1789: Graphite 1987: The first

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Imaging Carbon materials with correlative Raman-SEM microscopy. Introduction. Raman, SEM and FIB within one chamber. Diamond.

Imaging Carbon materials with correlative Raman-SEM microscopy. Introduction. Raman, SEM and FIB within one chamber. Diamond. Imaging Carbon materials with correlative Raman-SEM microscopy Application Example Carbon materials are widely used in many industries for their exceptional properties. Electric conductance, light weight,

More information

Physicists in the Semiconductor Industry

Physicists in the Semiconductor Industry Physicists in the Semiconductor Industry P.M. Mooney IBM Research Division, T.J. Watson Research Center Yorktown Heights, NY 10598 APS March Meeting March 24, 2004 Thomas J. Watson Research Center 1 Outline

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts

NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts NEM Relays Using 2-Dimensional Nanomaterials for Low Energy Contacts Seunghyun Lee, Ji Cao 10/29/2013 A Science & Technology Professor H. -S. Philip Wong Electrical Engineering, Stanford University Center

More information

Nanoelectronic Thermoelectric Energy Generation

Nanoelectronic Thermoelectric Energy Generation Nanoelectronic Thermoelectric Energy Generation Lourdes Ferre Llin l.ferre-llin.1@research.gla.ac.uk 1 Overview: Brief introduction on Thermoelectric generators. Goal of the project. Fabrication and Measurements

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

The Raman Spectroscopy of Graphene and the Determination of Layer Thickness

The Raman Spectroscopy of Graphene and the Determination of Layer Thickness Application Note: 52252 The Raman Spectroscopy of Graphene and the Determination of Layer Thickness Mark Wall, Ph.D., Thermo Fisher Scientific, Madison, WI, USA Key Words DXR Raman Microscope 2D Band D

More information

Advanced Photocathode Development. Klaus A(enkofer & cathode development group ANL

Advanced Photocathode Development. Klaus A(enkofer & cathode development group ANL Advanced Photocathode Development Klaus A(enkofer & cathode development group ANL Overview The Basic Principles of Photocathodes The Three Steps of Absorp@on: Requirements on the Material What does Novel

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Si/GaAs heterostructures fabricated by direct wafer bonding

Si/GaAs heterostructures fabricated by direct wafer bonding Mat. Res. Soc. Symp. Proc. Vol. 681E 2001 Materials Research Society Si/GaAs heterostructures fabricated by direct wafer bonding Viorel Dragoi, Marin Alexe, Manfred Reiche, Ionut Radu, Erich Thallner 1,

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

MICROMECHANICAL TEMPERATURE SENSOR

MICROMECHANICAL TEMPERATURE SENSOR MICROMECHANICAL TEMPERATURE SENSOR Ralitza Simeonova Gjosheva 2, Krassimir Hristov Denishev 1 1 Department of Microelectronics, Technical University - Sofia, 8 Kliment Ohridski Blvd., bl. 1, 1797-Sofia,

More information

STM and graphene. W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23

STM and graphene. W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23 STM and graphene W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23 Why graphene is important: It is a new form of material (two dimensional, single

More information

Physics in two dimensions in the lab

Physics in two dimensions in the lab Physics in two dimensions in the lab Nanodevice Physics Lab David Cobden PAB 308 Collaborators at UW Oscar Vilches (Low Temperature Lab) Xiaodong Xu (Nanoscale Optoelectronics Lab) Jiun Haw Chu (Quantum

More information

A Reliable Supply Pla.orm for Graphene & non- carbon 2D Materials

A Reliable Supply Pla.orm for Graphene & non- carbon 2D Materials A Reliable Supply Pla.orm for Graphene & non- carbon 2D Materials Andy Goodwin Commercial Director Advanced Materials Cambridge Graphene Technology Days 2015 3 rd CIR Graphene Business Conference, 6 November

More information

Session V: Graphene. Matteo Bruna CAMBRIDGE UNIVERSITY DEPARTMENT OF ENGINEERING

Session V: Graphene. Matteo Bruna CAMBRIDGE UNIVERSITY DEPARTMENT OF ENGINEERING Session V: Graphene Matteo Bruna Graphene: Material in the Flatland Graphite Graphene Properties: Thinnest imaginable material Good(and tunable) electrical conductor Strongest ever measured Stiffest known

More information

Current development status of Shin-Etsu EUV pellicle

Current development status of Shin-Etsu EUV pellicle Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have

More information

Ferromagnetism and Anomalous Hall Effect in Graphene

Ferromagnetism and Anomalous Hall Effect in Graphene Ferromagnetism and Anomalous Hall Effect in Graphene Jing Shi Department of Physics & Astronomy, University of California, Riverside Graphene/YIG Introduction Outline Proximity induced ferromagnetism Quantized

More information

Reliability Physics and Engineering: Key to Transformative Research. Aris Christou, MSE and ME Department, University of Maryland;

Reliability Physics and Engineering: Key to Transformative Research. Aris Christou, MSE and ME Department, University of Maryland; Reliability Physics and Engineering: Key to Transformative Research Aris Christou, MSE and ME Department, University of Maryland; christou@umd.edu "Advanced manufacturing is a family of activities that

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

Engineered Flexible Conductive Barrier Films for Advanced Energy Devices

Engineered Flexible Conductive Barrier Films for Advanced Energy Devices The 13 th Korea-U.S. Forum on Nanotechnology Engineered Flexible Conductive Barrier Films for Advanced Energy Devices Jinsung Kwak 1, Yongsu Jo 1, Soon-Dong Park 2, Na Yeon Kim 1, Se-Yang Kim 1, Zonghoon

More information

Integrated measuring system for MEMS

Integrated measuring system for MEMS Integrated measuring system for MEMS Thermal characterization of gas flows under slip-flow regime Alice Vittoriosi May 16, 2011 I NSTITUTE FOR M ICRO P ROCESS E NGINEERING - T HERMAL P ROCESS E NGINEERING

More information

Supplementary Figures Supplementary Figure 1

Supplementary Figures Supplementary Figure 1 Supplementary Figures Supplementary Figure 1 Optical images of graphene grains on Cu after Cu oxidation treatment at 200 for 1m 30s. Each sample was synthesized with different H 2 annealing time for (a)

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

Selective Molecular Transport through Intrinsic Defects in a Single Layer of CVD Graphene

Selective Molecular Transport through Intrinsic Defects in a Single Layer of CVD Graphene Selective Molecular Transport through Intrinsic Defects in a Single Layer of CVD Graphene Sean C. O Hern, Cameron A. Stewart, Michael S. H. Boutilier, Juan-Carlos Idrobo, Sreekar Bhaviripudi, Sarit K.

More information

Supplementary materials for: Large scale arrays of single layer graphene resonators

Supplementary materials for: Large scale arrays of single layer graphene resonators Supplementary materials for: Large scale arrays of single layer graphene resonators Arend M. van der Zande* 1, Robert A. Barton 2, Jonathan S. Alden 2, Carlos S. Ruiz-Vargas 2, William S. Whitney 1, Phi

More information

Intensity (a.u.) Intensity (a.u.) Raman Shift (cm -1 ) Oxygen plasma. 6 cm. 9 cm. 1mm. Single-layer graphene sheet. 10mm. 14 cm

Intensity (a.u.) Intensity (a.u.) Raman Shift (cm -1 ) Oxygen plasma. 6 cm. 9 cm. 1mm. Single-layer graphene sheet. 10mm. 14 cm Intensity (a.u.) Intensity (a.u.) a Oxygen plasma b 6 cm 1mm 10mm Single-layer graphene sheet 14 cm 9 cm Flipped Si/SiO 2 Patterned chip Plasma-cleaned glass slides c d After 1 sec normal Oxygen plasma

More information

How Can 2D Materials Advance Energy Storage?

How Can 2D Materials Advance Energy Storage? How Can 2D Materials Advance Energy Storage? 15th Anniversary High Value Manufacturing & 4th New Materials & Graphene Conference 2017 2-3 November 2017 www.cir-strategy.com/events Dr M. J. Loveridge, Warwick

More information

Initial Stages of Growth of Organic Semiconductors on Graphene

Initial Stages of Growth of Organic Semiconductors on Graphene Initial Stages of Growth of Organic Semiconductors on Graphene Presented by: Manisha Chhikara Supervisor: Prof. Dr. Gvido Bratina University of Nova Gorica Outline Introduction to Graphene Fabrication

More information

Toward Clean Suspended CVD Graphene

Toward Clean Suspended CVD Graphene Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Supplemental information for Toward Clean Suspended CVD Graphene Alexander Yulaev 1,2,3, Guangjun

More information

Supporting Information. Tuning Interlayer Coupling in Large-area Heterostructures with CVD-grown MoS 2 and WS 2 monolayers

Supporting Information. Tuning Interlayer Coupling in Large-area Heterostructures with CVD-grown MoS 2 and WS 2 monolayers Supporting Information Tuning Interlayer Coupling in Large-area Heterostructures with CVD-grown MoS 2 and WS 2 monolayers Sefaattin Tongay 1,, Wen Fan 1,2,, Jun Kang 3, Joonsuk Park 4,Unsal Koldemir 4,Joonki

More information

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE JEROEN JALINK 8 JUNI 2016 MICROELECTRONICS RELIABILITY 54 (2014) 1988 1994 Contents Introduction NXP Package form factor Failure mechanism

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

IEC TC 113 Nanotechnology for electrical and electronic products and systems

IEC TC 113 Nanotechnology for electrical and electronic products and systems IEC TC 113 Nanotechnology for electrical and electronic products and systems Mike Leibowitz TC 113 USNC TAG Secretary mike.leibowitz@nema.org February 28, 2017 ANSI NSP Arlington, VA - Background - Liaisons

More information

COMS- MEMS testkey for residual stress extrac7ng at wafer- level

COMS- MEMS testkey for residual stress extrac7ng at wafer- level COMS- MEMS testkey for residual stress extrac7ng at wafer- level Wan- Chun Chuang Mechanical & Electro- Mechanical Engineering Na5onal Sun Yat- sen University Outline Introduc5on State of the Art Technology

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Jining Xie Agilent Technologies May 23 rd, 2012 www.agilent.com/find/nano Outline 1. Introduction 2. Agilent

More information

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Dr. W. J. Hyun, Prof. C. D. Frisbie, Prof. L. F. Francis Department of Chemical Engineering and Materials Science

More information

Graphene A One-Atom-Thick Material for Microwave Devices

Graphene A One-Atom-Thick Material for Microwave Devices ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 11, Number 1, 2008, 29 35 Graphene A One-Atom-Thick Material for Microwave Devices D. DRAGOMAN 1, M. DRAGOMAN 2, A. A. MÜLLER3 1 University

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer 3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer Makoto Takamiya 1, Koichi Ishida 1, Koichi Takemura 2,3, and Takayasu Sakurai 1 1 University of Tokyo, Japan 2 NEC Corporation,

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

NSF EPSCoR Kansas Center for Solar Energy Research Annual Program Review June 12-14, 2011

NSF EPSCoR Kansas Center for Solar Energy Research Annual Program Review June 12-14, 2011 NSF EPSCoR Kansas Center for Solar Energy Research Annual Program Review June 12-14, 2011 Plasmonic and Photonic Photovoltaics based on graphene and other carbon nanostructures Fengli Wang, Guowei Xu,

More information

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor Supporting Information Direct Growth of Graphene Films on 3D Grating Structural Quartz Substrates for High-performance Pressure-Sensitive Sensor Xuefen Song, a,b Tai Sun b Jun Yang, b Leyong Yu, b Dacheng

More information

From Hall Effect to TMR

From Hall Effect to TMR From Hall Effect to TMR 1 Abstract This paper compares the century old Hall effect technology to xmr technologies, specifically TMR (Tunnel Magneto-Resistance) from Crocus Technology. It covers the various

More information

A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance

A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance Best Student Paper Award A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance Wei Wu a, Alireza Bonakdar, Ryan Gelfand, and Hooman Mohseni Bio-inspired Sensors and

More information

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor From nanophysics research labs to cell phones Dr. András Halbritter Department of Physics associate professor Curriculum Vitae Birth: 1976. High-school graduation: 1994. Master degree: 1999. PhD: 2003.

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

Synthesis Techniques in 2D: Films & Coa8ngs. Pat Thiel Department of Chemistry, Department of MS&E, Ames Lab Feb. 8, 2019 Physics 590B

Synthesis Techniques in 2D: Films & Coa8ngs. Pat Thiel Department of Chemistry, Department of MS&E, Ames Lab Feb. 8, 2019 Physics 590B Synthesis Techniques in 2D: Films & Coa8ngs Pat Thiel Department of Chemistry, Department of MS&E, Ames Lab Feb. 8, 2019 Physics 590B Outline 1. Introduc8on 2. Common techniques 3. Fundamental concepts

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

Beyond silicon electronics-fets with nanostructured graphene channels with high on-off ratio and highmobility

Beyond silicon electronics-fets with nanostructured graphene channels with high on-off ratio and highmobility Beyond silicon electronics-fets with nanostructured graphene channels with high on-off ratio and highmobility M.Dragoman 1, A.Dinescu 1, and D.Dragoman 2 1 National Institute for Research and Development

More information

Grpahene Synthesis by CVD. QingkaiYu Ingram School of Engineering Texas State University at San Marcos

Grpahene Synthesis by CVD. QingkaiYu Ingram School of Engineering Texas State University at San Marcos Grpahene Synthesis by CVD QingkaiYu Ingram School of Engineering Texas State University at San Marcos @ Applied Nanotech Inc, July 25 th, 2011 Acknowledgement Texas State University Dr. Zhihong Liu Austin

More information

Graphene Commercialisation Summary of Industry Consultation Workshops

Graphene Commercialisation Summary of Industry Consultation Workshops Graphene Commercialisation Summary of Industry Consultation Workshops Dr Martin Kemp Eur Ing, C Eng, FIMMM, Chartered Marketer Theme Manager, NanoKTN Chairman, IOM3 Nanomaterials Committee HVM Graphene

More information

FLAG-ERA JTC 2017 GRANSPORT

FLAG-ERA JTC 2017 GRANSPORT FLAG-ERA JTC 2017 GRANSPORT Correlations and defects in graphene and related materials: Charge and heat transport Mikhail Titov FLAG-ERA JTC 2017 Project Kick-off Seminar - Graphene - Madrid 21 March 2018

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Modelling of Diamond Devices with TCAD Tools

Modelling of Diamond Devices with TCAD Tools RADFAC Day - 26 March 2015 Modelling of Diamond Devices with TCAD Tools A. Morozzi (1,2), D. Passeri (1,2), L. Servoli (2), K. Kanxheri (2), S. Lagomarsino (3), S. Sciortino (3) (1) Engineering Department

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:.38/nature09979 I. Graphene material growth and transistor fabrication Top-gated graphene RF transistors were fabricated based on chemical vapor deposition (CVD) grown graphene on copper (Cu). Cu foil

More information

Graphene Plasmonics: Theory and Experiments

Graphene Plasmonics: Theory and Experiments Graphene Plasmonics: Theory and Experiments J. Sebas)an Gomez- Diaz and Andrea Alù Department of Electrical and Computer Engineering The University of Texas at Aus

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Lateral heterojunctions within monolayer MoSe 2 -WSe 2 semiconductors Chunming Huang 1,#,*, Sanfeng Wu 1,#,*, Ana M. Sanchez 2,#,*, Jonathan J. P. Peters 2, Richard Beanland 2, Jason S. Ross 3, Pasqual

More information

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures F. Amet, 1 J. R. Williams, 2 A. G. F. Garcia, 2 M. Yankowitz, 2 K.Watanabe, 3 T.Taniguchi, 3 and D. Goldhaber-Gordon

More information

2D Simulations and Electro-Thermal Analysis of Micro-Heater Designs Using COMSOL TM for Gas Sensor Applications

2D Simulations and Electro-Thermal Analysis of Micro-Heater Designs Using COMSOL TM for Gas Sensor Applications Presented at the COMSOL Conference 2010 India 2D Simulations and Electro-Thermal Analysis of Micro-Heater Designs Using COMSOL TM for Gas Sensor Applications Presented By Velmathi.G, Ramshanker.N and Mohan.S

More information

Semiconductor Disk Laser on Microchannel Cooler

Semiconductor Disk Laser on Microchannel Cooler Semiconductor Disk Laser on Microchannel Cooler Eckart Gerster An optically pumped semiconductor disk laser with a double-band Bragg reflector mirror is presented. This mirror not only reflects the laser

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Continuous, Highly Flexible and Transparent. Graphene Films by Chemical Vapor Deposition for. Organic Photovoltaics

Continuous, Highly Flexible and Transparent. Graphene Films by Chemical Vapor Deposition for. Organic Photovoltaics Supporting Information for Continuous, Highly Flexible and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics Lewis Gomez De Arco 1,2, Yi Zhang 1,2, Cody W. Schlenker 2,

More information

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O Solliance Perovskite based PV (PSC) Program TKI Urban Energy Days - 2017-06-21 l e d b y i m e c, E C N a n d T N O 2 Bringing together research and industry Providing insight and know-how to all partners

More information

Recap (so far) Low-Dimensional & Boundary Effects

Recap (so far) Low-Dimensional & Boundary Effects Recap (so far) Ohm s & Fourier s Laws Mobility & Thermal Conductivity Heat Capacity Wiedemann-Franz Relationship Size Effects and Breakdown of Classical Laws 1 Low-Dimensional & Boundary Effects Energy

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

HSG-IMIT Application AG

HSG-IMIT Application AG B4.1 Acceleration Sensors IP-Blocks for MEMS Foundry Surface Micromachining Process R. Knechtel, S. Dempwolf S. Hering X-FAB Semiconductor Foundries AG Haarberstraße 67 99097 Erfurt / Germany T. Link J.

More information

Large scale growth and characterization of atomic hexagonal boron. nitride layers

Large scale growth and characterization of atomic hexagonal boron. nitride layers Supporting on-line material Large scale growth and characterization of atomic hexagonal boron nitride layers Li Song, Lijie Ci, Hao Lu, Pavel B. Sorokin, Chuanhong Jin, Jie Ni, Alexander G. Kvashnin, Dmitry

More information

Ternary blend polymer solar cells with enhanced power conversion efficiency

Ternary blend polymer solar cells with enhanced power conversion efficiency Ternary blend polymer solar cells with enhanced power conversion efficiency Luyao Lu 1, Tao Xu 1, Wei Chen 2,3, Erik S. Landry 2,3, Luping Yu 1 * 1. Department of Chemistry and The James Franck Institute,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Toward Modular Molecular Composite Nanosystems

Toward Modular Molecular Composite Nanosystems Toward Modular Molecular Composite Nanosystems K. Eric Drexler, PhD U.C. Berkeley 26 April 2009 Intended take-away messages: Paths are now open toward complex, self-assembled, heterogenous nanosystems

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Supplementary information

Supplementary information Supplementary information Highly Conductive Graphene/Ag Hybrid Fibers for Flexible Fiber-Type Transistors Sang Su Yoon, 1 Kang Eun Lee, 1 Hwa-Jin Cha, 1 Dong Gi Seong, 1 Moon-Kwang Um, 1 Joon Hyung Byun,

More information

Efficient electron transport on helium with silicon integrated circuits

Efficient electron transport on helium with silicon integrated circuits Efficient electron transport on helium with silicon integrated circuits - - + - - Forrest Bradbury 1 and Maika Takita 1, Kevin Eng 2, Tom M Gurrieri 2, Kathy J Wilkel 2, Stephen A Lyon 1 1 Princeton University

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Instrumentation for sub-mm astronomy. Adam Woodcraft SUPA, University of Edinburgh

Instrumentation for sub-mm astronomy. Adam Woodcraft   SUPA, University of Edinburgh Instrumentation for sub-mm astronomy Adam Woodcraft http://woodcraft.lowtemp.org SUPA, University of Edinburgh 1 2 Introduction Sub-mm astronomy 1 Astronomy at sub-mm wavelengths Between infrared and millimetre

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

PLASMONICS/METAMATERIALS

PLASMONICS/METAMATERIALS PLASMONICS/METAMATERIALS Interconnects Optical processing of data Subwavelength confinement Electrodes are in place Coupling to other on-chip devices Combination of guiding, detection, modulation, sensing

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/4/3/e1701373/dc1 Supplementary Materials for Atomically thin gallium layers from solid-melt exfoliation Vidya Kochat, Atanu Samanta, Yuan Zhang, Sanjit Bhowmick,

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Ching-Hsun Chao, Chi Yen, Ping Hsu, Eugene Lee, Paul Bernatis

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1 Raman spectroscopy of CVD graphene on SiO 2 /Si substrate. Integrated Raman intensity maps of D, G, 2D peaks, scanned across the same graphene area. Scale

More information