Figure 6-1 Layout of Part of a Programmable Logic Cell Array

Size: px
Start display at page:

Download "Figure 6-1 Layout of Part of a Programmable Logic Cell Array"

Transcription

1 Figure 6-1 Layout of Part of a Programmable Logic Cell Array Configurable Logic Block I/0 Block Interconnect Area

2 Figure 6-2 Configuration Memory Cell Q WRITE DATA Q CONFIGURATION CONTROL

3 Figure 6-3 Xilinx 3000 Series Logic Cell DATA IN LOGIC VARIABLES A B C D E DI QX F COMBINATORIAL FUNCTION QY G F DIN G M M F DIN G M M 0 MUX 1 0 MUX 1 D Q RD D Q QX F M G QY M X CLB OUTPUTS Y ENABLE CLOCK EC 1 (ENABLE) RD CLOCK K M DIRECT RESET RD 0 (INHIBIT) M (GLOBAL RESET) M

4 Figure 6-4 Combinatorial Logic Options A B C D E QX QX QY QY Any Function of 4 Variables Any Function of 4 Variables F G FG MODE A B C D E QX QX QY QY Any Function of 4 Variables Any Function of 4 Variables M U X F G FGM MODE A B C D E QX QY Any Function of 5 Variables F G F MODE

5 Figure 6-5 Flip-flops with Clock Enable D1 EC CLK 0 1 MUX D Q RD D1 EC CLK D Q CE FF Reset Q + = EC D1 + EC' Q

6 Figure 6-6 Parallel Adder-Subtracter Logic Cell c i b i Su (Ad + Su) Reset A G B Combinational E Logic (QX) F D Q CE RD c i+1 (Carry out) a i (Sum) K (Clock) F = sum = a i + = a i (b i Su) c i G = c i+1 = carry out = a i c i + (a i + c i )(b i Su)

7 Figure 6-7 Signal Paths Within Adder-Subtracter Logic Cell DATA IN c i b i LOGIC VARIABLES Su A B C D E DI QX F COMBINATORIAL FUNCTION G QY F DIN G F DIN G 0 MUX 1 0 MUX 1 D Q RD D Q QX a i F CLB OUTPUTS G c QY i+1 Ad + Su ENABLE CLOCK EC 1 (ENABLE) RD CLOCK K DIRECT RESET RD 0 (INHIBIT) (GLOBAL RESET)

8 Figure 6-8 Xilinx 3000 Series I/O Block PROGRAM-CONTROLLED MEMORY CELLS Vcc OUT INVERT 3-STATE INVERT OUTPUT SELECT SLEW RATE PASSIVE PULL UP 3-STATE (OUTPUT ENABLE) T OUT O D Q FLIP FLOP R OUTPUT BUFFER I/O PAD DIRECT IN REGISTERED IN I Q CK IK Q D FLIP FLOP or LATCH R TTL or CMOS INPUT THRESHOLD (GLOBAL RESET) CK1 PROGRAM CONTROLLED MULTIPLEXER CK2 = PROGRAMMABLE INTERCONNECTION POINT or PIP

9 Figure 6-9 General-purpose Interconnects Figure 6-10 Direct Interconnects Between Adjacent CLBs CLB CLB CLB CLB CLB CLB Switch Matrix Switch Matrix Switch Matrix Switch Matrix CLB CLB CLB CLB CLB CLB Switch Matrix Switch Matrix CLB CLB CLB

10 Figure 6-11 Vertical and Horizontal Long Lines CLB CLB Switch Matrix CLB CLB

11 Figure 6-12 Uses of Tristate Buffers Z = D A A' + D B B' + D C C' D N N' WEAK KEEPER CIRCUIT D A D B D C D N A B C N (a) Muliplexer implementation V cc V cc Z = D A D B D C...D N D A D B D C D N (b) Wired-AND implementation

12 Figure 6-13 Crystal Oscillator D Q XTAL2 (IN) XTAL1 R1 R2 Alternate Clock Buffer C1 Y1 C2

13 6.2 Designing with FPGAs Sophisticated CAD tools are available to assist with the design of systems using programmable gate arrays. One method of designing a digital system with a FPGA uses the following steps: 1. Draw a block diagram of the digital system. Define condition and control signals and construct SM charts or state graphs which describe the required sequence of operations. 2. Write a VHDL description of the system. Simulate and debug the VHDL code, and make any necessary corrections to the design which was developed in step Work out the detailed logic design of the system using gates, flip-flops, registers, counters, adders, etc. 4. Enter a logic diagram of the system into the computer using a schematic capture program. Simulate and debug the logic diagram, and make any necessary corrections to the design of step Run a partitioning program. This program will break the logic diagram into pieces which will fit into the configurable logic blocks. 6. Run an automatic place and route program. This will place the logic blocks in appropriate places in the FPGA and then route the interconnections between the logic blocks. 7. Run a program which will generate the bit pattern necessary to program the FPGA. 8. Download the bit pattern into the internal configuration memory cells in the FPGA and test the operation of the FPGA.

14 Figure 6-14 EPROM Connection for LCA Initialization FPGA Address Data EPROM (contains configuration data)

15 From Page 213 Dice Game Controller Equations (From SM Chart of Figure 5-32) State Assignment: T0: AB = 00, T1: AB = 01, T2: AB = 10, T3: AB = 11 A+ = A'B' Dn_roll D711 + A'B' Dn_roll D A'B Dn_roll Eq + A'B Dn_roll D7 + A Reset' B+ = A'B' Dn_roll D711' + A'B Dn_roll' + A'B Eq' + A B Reset' Win = A B' Lose = A B En_roll = A' Sp = A'B' Dn_roll D711' D2312' Q+ = Q' En_roll Rb + Q Rb Roll = Q Rb Dn_roll = Q Rb'

16 CLK CE D DFF Q RD C CLK CE D DFF Q RD C IPAD SPARESWITCH VCC LOC=P16 IBUF IPAD LOC=P14 LOC=P12 IPAD CQ CQL Q GOSC CLK_IN ACLK CLK CLK GAME_RESET RB LOSE WIN ROLL D7 D711 D2312 SP EQ dice_contoller ROLL RB RB GAME_RESET OPAD LOC=P32 LOC=P33 WIN LOSE OBUF OBUF OPAD IPAD LOC=P11 IBUF comparator EQ A[3:0] B[3:0] Q[3:0] D[3:0] Q[3:0] 4bit_register CLK CHIP_ENABLE CLK D7 D711 D2312 SUM[3:0] test logic A[2:0] B[2:0] SUM[3:0] full_adder3 CLK SUM[3:0] COUNT_1to6 COUNT[2:0] CARRY CLK CHIP_ENABLE CLK COUNT[2:0] COUNT_1to6 CHIP_ENABLE CARRY CLK D2_[2:0] D1_[2:0] LOC=P37 OPAD D2_2 OBUF LOC=P36 OPAD D2_1 OBUF LOC=P41 OPAD D2_0 OBUF LOC=P31 OPAD D1_2 OBUF LOC=P28 OPAD D1_1 OBUF LOC=P29 OPAD D1_0 OBUF Figure 6-15 Dice Game Block Diagram

17 CLK Figure 6-16 Dice Game Controller Module DN_ROLL D711 D2312 EO D7 GAME_RESET VCC DFF D Q A CE C RD DFF D Q B CE C RD (a) Main controller WIN LOSE SP EN_ROLL'

18 Figure 6-16 Dice Game Controller Module ROLL RB EN_ROLL' DFF D ROLL_NEXT Q DN_ROLL VCC CLK CE C RD (b) Dice roll controller

19 Figure 6-17 Modulo-6 Counter DFF D CE Q2 C RD DFF D CE Q Q1 C RD DFF D Q Q0 CHIP_ENABLE CLK MAIN_RESET CE C RD COUNT0 COUNT1 COUNT2 CHIP_ENABLE CARRY COUNT[2:0]

20 Figure 6-18 Layout and Routing for Dice Game for XC3020 PWR DN P9 P8 P7 P6 P5 P4 P3 P2 GND P6 8 P6 7 P6 6 P6 5 P6 4 P6 3 P6 2 P6 1 CCL K GAM E_ R P5 9 ESE T CL $ 1 N 6 CL K _ I N AB $ $ 1 N3 1 $ $ 1 N4 3 $ B_ NEX AF AG AH P5 8 P1 3 P5 7 U6 1 BA BB $ $ 1 N1 7 $ $ 1 N2 9 $ A_ NEX $ $ 1 N2 3 BG BH P5 6 $ 1 N 5 U2 1 P1 5 CA CB CC $ 1 N 2 3 Q2 $ 1 N 2 7 $ 1 N 2 4 CH P5 5 $ 1 N 5 1 P5 4 P1 7 DA RB DC DD Q3 $ 2 - $ 1 N 7 $ 1 N 2 6 DH P5 3 VCC VCC P1 9 U2 5 U5 5 EA $ RO L L _ ROL L ED Q0 $ 2 - $ 1 N 2 8 EG EH P5 1 P2 0 P5 0 P2 1 F A D1 _ 2 F C F D Q1 $ 4 - $ 1 N 9 F G F H P4 9 P2 2 U2 9 U5 1 GA D1 _ 1 GC $ 1 N 2 1 D2 _ 2 $ 4 - $ 1 N 7 GG GH P4 8 P2 3 P4 7 HA HB $ 1 N 8 1 HD D2 _ 1 HF HG HH BCL P2 4 P4 6 M1 R D DPG M M0R P27 $1N $ 1 N P3 0 $ 1 N L OS WI N P34 GND $ 1 N $ 1 N P3 8 P3 9 P4 0 $ 1 N P4 2 P4 3 RST

21 Figure 6-19a Realization of General 6-variable Functions Z(a,b,c,d,e,f) = a'z(0,b,c,d,e,f) + az(1,b,c,d,e,f) = a'z + az 0 1 b c d e f Z 0 a Z b c d e f Z 1

22 Figure 6-19b Realization of General 7-variable Function Z(a,b,c,d,e,f,g) = a'b'z(0,0,c,d,e,f,g) + a'bz(0,1,c,d,e,f,g) + ab'z(1,0,c,d,e,f,g) + abz(1,1,c,d,e,f,g) = a'b'y + a'by1 + ab'y2 + aby 3 0 c d e f g c d e f g c d e f g c d e f g Y 0 Y 1 Y 2 Y 3 a b a b Z Z 0

23 Figure 6-20 Simplified Block Diagram for 4000 Series CLB C1 C2 C3 C4 H1 DIN S/R EC G4 G3 G2 LOGIC FUNCTION OF G G1-G4 DIN F G H S/R CONTROL D SD Q YQ G1 F4 F3 F2 LOGIC FUNCTION OF F F1-F4 LOGIC FUNCTION OF H F,G, AND H1 G H DIN F G H 1 S/R CONTROL EC RD D SD Q Y XQ F1 K (CLOCK) H F 1 EC RD X

24 Figure 6-21 XC4000 Dedicated Carry Logic C OUT C IN DOWN D IN G4 G CARRY G H Y G3 G2 G1 H1 F4 F CARRY M2 M3 M4 G H DIN HG F DIN HG F S/R D Q EC S/R D Q EC YQ XQ F3 F2 F1 F H F X M1 C IN UP C OUT

25 Figure 6-22 Conceptual Diagram of a Typical Addition (2 Bits/CLB) Carry Logic A i+1 B i+1 G Function C i+2 S i+1 A i B i C i C i+1 F Function S i

26 Figure 6-23 Connections for a 4-bit Adder CLB Ov C 4 C 3 B 3 A 3 B 2 A 2 CLB S 3 S 2 C 2 hard-wired carries B 1 A 1 B 0 A 0 CLB S 1 S 0 C 0 C 0 CLB

27 Figure 6-24 CLB as a Read/Write Memory Cell C1 C2 C3 C4 WE D1 D0 EC G4 G3 G2 G1 WE DATA IN G FUNCTION GENERATOR M WRITE G M WRITE F M 16x2 F4 WE DATA IN F3 F2 F FUNCTION GENERATOR F1

28 Figure Series I/O Block 3 - State TS OUTPUT INVERT OUTPUT M Output Data O TS/OE Boundary Scan TS INV M TS - capture TS - update sd D Q EXTEST SLEW PULL PULL RATE DOWN UP Vcc M INVERT PAD Output Clock OK M S/R rd M OUT SEL Boundary Scan O - capture Q - capture O - update I - capture Boundary Scan I - update DELAY M M INVERT sd D Q QL M M M M Input Data 1 1 Input Data 2 2 Input Clock IK M S/R rd FLIP-FLOP/ LATCH INPUT GLOBAL S/R

29 Figure 6-26(a) Behavioral Model for XC4000 CLB library BITLIB; use BITLIB.bit_pack.all; entity XC4000CLB is port (MEM_BITS : in bit_vector(0 to 51); G_IN, F_IN, C_IN : in bit_vector(4 downto 1); K : in bit; Y,X : out bit; Q : out bit_vector (1 downto 0)); end XC4000CLB; architecture behavior of XC4000CLB is alias G_FUNC : bit_vector(0 to 15) is MEM_BITS(0 to 15); alias F_FUNC : bit_vector(0 to 15) is MEM_BITS(16 to 31); alias H_FUNC : bit_vector(0 to 7) is MEM_BITS(32 to 39); type bv2d is array (1 downto 0) of bit_vector(1 downto 0); constant FF_SEL : bv2d := (MEM_BITS(40 to 41),MEM_BITS(42 to 43)); alias Y_SEL : bit is MEM_BITS(44); alias X_SEL : bit is MEM_BITS(45); alias EDGE_SEL: bit_vector(1 downto 0) is MEM_BITS(46 to 47); alias EC_SEL : bit_vector(1 downto 0) is MEM_BITS(48 to 49); alias SR_SEL : bit_vector(1 downto 0) is MEM_BITS(50 to 51); alias H1 : bit is C_IN(1); alias DIN : bit is C_IN(2); alias SR : bit is C_IN(3); alias EC : bit is C_IN(4); -- Timing spec for XC4000, Speed Grade -4 constant Tiho : TIME := 6 ns; constant Tilo : TIME := 4 ns; constant Tcko : TIME := 3 ns; constant Trio : TIME := 7 ns; signal G,F,H : bit; -- F/G inputs to X/Y outputs via H -- F/G inputs to X/Y outputs -- Clock K to Q outputs -- S/R to Q outputs

30 Figure 6-26(b) Behavioral Model for XC4000 CLB begin G <= G_FUNC (vec2int(g_in)); F <= F_FUNC (vec2int(f_in)); H <= H_FUNC (vec2int(h1&g&f)) after (Tiho-Tilo); X <= (X_SEL and H) or (not X_SEL and F) after Tilo; Y <= (Y_SEL and H) or (not Y_SEL and G) after Tilo; process (K, SR) -- update FF outputs variable DFF_EC,D : bit_vector(1 downto 0); begin for i in 0 to 1 loop DFF_EC(i) := EC or EC_SEL(i); case FF_SEL(i) is when "00" => D(i) := DIN; when "01" => D(i) := F; when "10" => D(i) := G; when "11" => D(i) := H; end case; if (SR='1') then Q(i)<=SR_SEL(i) after Trio;-- If SR set, then set or reset ff else if (DFF_EC(i)='1') then -- If clock enabled then -- If correct triggering edge then update ff value if ((EDGE_SEL(i)='1' and rising_edge(k)) or (EDGE_SEL(i)='0' and falling_edge(k))) then Q(i)<=D(i) after Tcko; end if; end if; end if; end loop; end process; end behavior;

31 Table 6-1 Truth Tables for G and F Function Generators G4 G3 G2 G1 G F4 F3 F2 F1 F K M Q1 Q0 Q1 + St M Q1 Q0 Q

32 Figure 6-27 XC4000 Implementation of Multiplier Control entity Fig_4_6 is port (St, K, M, CLK : in bit; Ad, Sh, Load, Done : out bit); end Fig_4_6; architecture CLBs of Fig_4_6 is component XC4000CLB port(mem_bits : in bit_vector(0 to 51); G_IN, F_IN, C_IN : in bit_vector(4 downto 1); K : in bit; Y,X : out bit; Q : out bit_vector (1 downto 0)); end component; constant MEM1 : bit_vector (0 to 51) := " "; constant MEM2 : bit_vector (0 to 51) := " "; constant MEM3 : bit_vector (0 to 51) := " "; signal Q : bit_vector (1 downto 0); signal G_IN1,G_IN2,G_IN3,F_IN1,F_IN2,F_IN3 : bit_vector (3 downto 0); begin G_IN1<=K&M&Q; F_IN1<=St&M&Q; G_IN2<="00"&Q; F_IN2<=St&'0'&Q; G_IN3<=M&'0'&Q; F_IN3<=M&'0'&Q; CLB1: XC4000CLB port map (MEM1,G_IN1,F_IN1,"1000",CLK,open,open,Q); CLB2: XC4000CLB port map (MEM2,G_IN2,F_IN2,"1000",CLK,Done,Load,open); CLB3: XC4000CLB port map (MEM3,G_IN3,F_IN3,"1000",CLK,Ad,Sh,open); end CLBs;

33 Figure 6-28 Partial State Graph T0 X1/Z1 X2/Z2 T1 T3 T2 X3/Z1 X4/Z2 One-hot state assignment for flip-flops Q0 Q1 Q2 Q3: T0: 1000, T1: 0100, T2: 0010, T3: 0001 Q3 + = X1 Q0 + X2 Q1 + X3 Q2 + X4 Q3 Z1 = X1 Q0 + X3 Q2 Z2 = X2 Q1 + X4 Q3

34 Figure 6-29 Altera 7000 Series Architecture for EPM7032, 7064, and 7096 Devices INPUT/GCLK INPUT/GCLRn INPUT/OE1 INPUT/OE2 LAB A LAB B 8 to 16 I/O pins I/O Control Block 8 to 16 Macrocells 1 to 8 Macrocells 9 to Macrocells 17 to 24 Macrocells 25 to 32 8 to 16 I/O Control Block 8 to 16 I/O pins 8 to 16 8 to 16 8 to 16 I/O pins I/O Control Block 8 to 16 LAB C Macrocells 33 to 40 Macrocells 41to PIA Macrocells 49 to 56 Macrocells 57 to 64 LAB D 8 to 16 I/O Control Block 8 to 16 I/O pins 8 to 16 8 to 16

35 Figure 6-30 Macrocell for EMP7032, 7064, and 7096 Devices Logic Array Global Clear Parallel Logic Expanders (from other macrocells) Global Clock Programmable Register Register Bypass Product- Term Select Matrix Clock/ Enable Select PRN D Q ENA CLRN to I/O Control Block Clear Select VCC 36 Signals from PIA 16 Expander Product Terms Shared Logic Expanders to PIA

36 Figure 6-31 Sharable Expanders Macrocell Product-term Logic Product-term Select Matrix Macrocell Product-term Logic 36 Signals from PIA 16 Shared Expanders

37 Figure 6-32 Parallel Expanders from Previous Macrocell Preset Product- Term Select Matrix Clock Clear Macrocell Product-term Logic Preset Product- Term Select Matrix Clock Clear Macrocell Product-term Logic 36 Signals from PIA 16 Shared Expanders to Next Macrocell

38 Figure 6-33 I/O Block for EPM7032, 7064, and 7096 VCC OE1n OE2n OE Control from Macrocell GND to PIA

39 Figure 6-34 FLEX 10K Device Block Diagram I/O Element () Embedded Array Block (EAB) Column Interconnect EAB Logic Array Logic Array Block (LAB) Row Interconnect EAB Logic Element (LE) Local Interconnect Logic Array Embedded Array

40 Figure 6-35 FLEX 10K Logic Array Block Dedicated Inputs & Global Signals Row Interconnect LAB Local Interconnect LAB Control Signals Carry-In & Cascade-In LE1 LE2 LE3 8 LE Column-to-Row Interconnect Column Interconnect 4 LE5 4 LE6 4 LE7 4 LE8 8 2 Carry-Out & Cascade-Out

41 Figure 6-36 FLEX 10K Logic Element Carry-In Cascade-In Register Bypass Programmable Register DATA1 DATA2 DATA3 DATA4 Look-Up Table (LUT) Carry Chain Cascade Chain PRn D Q to FastTrack Interconnect ENA CLRn to LAB Local Interconnect LABCTRL1 LABCTRL2 Clear/ Preset Logic Device-Wide Clear Clock Select LABCTRL3 LABCTRL4 Carry-Out Cascade-Out

42 Figure 6-37 Cascade Chain Operation AND Cascade Chain OR Cascade Chain d[3..0] LUT LE1 d[3..0] LUT LE1 d[7..4] LUT LE2 d[7..4] LUT LE2 d[(4n-1)..(4n-4)] LUT LEn d[(4n-1)..(4n-4)] LUT LE n

43 Figure 6-38 FLEX 10K Embedded Array Block Dedicated Inputs & Global Signals Device-Wide Clear Row Interconnect 6 2, 4, 8, 16 8, 4, 2, 1 D Q Data In Data Out D Q 24 2, 4, 8, 16 8, 9, 10, 11 D Q Address RAM/ROM D Q 256 x x 4 1,024 x 2 2,048 x 1 WE Column interconnect EAB Local Interconnect

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College PLDs Programmable Logic Devices (PLD) General purpose chip for implementing circuits Can be customized using programmable

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

:3 2 D e c o de r S ubs ys te m 0  One 1  Ze ro 0  0  One I 1 0  One 1  Ze ro 1  Ze ro 0  0 dvanced igital Logic esign EES 303 http://ziyang.eecs.northwestern.edu/eecs303/ 5:32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 \EN 5:32

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #1 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 DEPARTMENT: EEE QUESTION BANK SUBJECT NAME: DIGITAL LOGIC CIRCUITS SUBJECT CODE: EE55 SEMESTER IV UNIT : Design of Synchronous Sequential Circuits PART

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Midterm Stephen A. Edwards Columbia University Spring 22 The Midterm 75 minutes 4 5 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1 Lab 3 Revisited Zener diodes R C 6.091 IAP 2008 Lecture 4 1 Lab 3 Revisited +15 Voltage regulators 555 timers 270 1N758 0.1uf 5K pot V+ V- 2N2222 0.1uf V o. V CC V Vin s = 5 V Vc V c Vs 1 e t = RC Threshold

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic CPE/EE 422/522 Chapter - Review of Logic Design Fundamentals Dr. Rhonda Kay Gaede UAH UAH Chapter CPE/EE 422/522. Combinational Logic Combinational Logic has no control inputs. When the inputs to a combinational

More information

EE 209 Logic Cumulative Exam Name:

EE 209 Logic Cumulative Exam Name: EE 209 Logic Cumulative Exam Name: 1.) Answer the following questions as True or False a.) A 4-to-1 multiplexer requires at least 4 select lines: true / false b.) An 8-to-1 mux and no other logi can be

More information

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS5 J. Wawrzynek Spring 22 2/22/2. [2 pts] Short Answers. Midterm Exam I a) [2 pts]

More information

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing)

Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Errata for Fundamentals of Logic Design, 5th ed, hardcover (1st printing) Look on the back of the title page of the textbook (the copyright page) and you will find a line that reads either 3 4 5 6 7 06

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

COE 328 Final Exam 2008

COE 328 Final Exam 2008 COE 328 Final Exam 2008 1. Design a comparator that compares a 4 bit number A to a 4 bit number B and gives an Output F=1 if A is not equal B. You must use 2 input LUTs only. 2. Given the following logic

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI DEPARTMENT: ECE MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 QUESTION BANK SUBJECT NAME: DIGITAL ELECTRONICS UNIT : Design of Sequential Circuits PART A ( Marks). Draw the logic diagram 4: Multiplexer.(AUC

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

Combinational Logic Design Combinational Functions and Circuits

Combinational Logic Design Combinational Functions and Circuits Combinational Logic Design Combinational Functions and Circuits Overview Combinational Circuits Design Procedure Generic Example Example with don t cares: BCD-to-SevenSegment converter Binary Decoders

More information

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum

Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum Luleå Tekniska Universitet Kurskod SMD098 Tentamensdatum 991215 Skrivtid 4 timmar Tentamen i Beräkningsstrukturer Antal uppgifter: 6 Max poäng: 30 Betygsgränser: >20 poäng 4 >25 poäng 5 Betygsgränser kan

More information

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution S.E. Sem. III [ETRX] Digital Circuits and Design Prelim uestion Paper Solution. (a) Static Hazard Static hazards have two cases: static and static. static- hazard exists when the output variable should

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: J. Rose and

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

Introduction to the Xilinx Spartan-3E

Introduction to the Xilinx Spartan-3E Introduction to the Xilinx Spartan-3E Nash Kaminski Instructor: Dr. Jafar Saniie ECE597 Illinois Institute of Technology Acknowledgment: I acknowledge that all of the work (including figures and code)

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

3 Logic Function Realization with MSI Circuits

3 Logic Function Realization with MSI Circuits 3 Logic Function Realization with MSI Circuits Half adder A half-adder is a combinational circuit with two binary inputs (augund and addend bits) and two binary outputs (sum and carry bits). It adds the

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Digital Logic Design - Chapter 4

Digital Logic Design - Chapter 4 Digital Logic Design - Chapter 4 1. Analyze the latch circuit shown below by obtaining timing diagram for the circuit; include propagation delays. Y This circuit has two external input and one feedback

More information

EECS150 - Digital Design Lecture 17 - Combinational Logic Circuits. Limitations on Clock Rate - Review

EECS150 - Digital Design Lecture 17 - Combinational Logic Circuits. Limitations on Clock Rate - Review EECS150 - Digital Design Lecture 17 - Combinational Logic Circuits Finish off Timing First March 17, 2009 John Wawrzynek Spring 2009 EECS150 - Lec17-timing Page 1 Limitations on Clock Rate - Review 1 Logic

More information

7 Multipliers and their VHDL representation

7 Multipliers and their VHDL representation 7 Multipliers and their VHDL representation 7.1 Introduction to arithmetic algorithms If a is a number, then a vector of digits A n 1:0 = [a n 1... a 1 a 0 ] is a numeral representing the number in the

More information

Analysis of clocked sequential networks

Analysis of clocked sequential networks Analysis of clocked sequential networks keywords: Mealy, Moore Consider : a sequential parity checker an 8th bit is added to each group of 7 bits such that the total # of 1 bits is odd for odd parity if

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Sequential Circuits Circuits with state Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Combinational circuits A 0 A 1 A n-1. Sel lg(n) O Mux A B Comparator Result: LT,

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form S.E. Sem. III [EXTC] Digital System Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80 Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form [5] (i) (42) 10 (ii) (17)

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13 Ziad Matni Dept. of Computer Science, UCSB Administrative Re: Midterm Exam #2 Graded! 5/22/18

More information

Lecture 9: Digital Electronics

Lecture 9: Digital Electronics Introduction: We can classify the building blocks of a circuit or system as being either analog or digital in nature. If we focus on voltage as the circuit parameter of interest: nalog: The voltage can

More information

P2 (10 points): Given the circuit below, answer the following questions:

P2 (10 points): Given the circuit below, answer the following questions: P1 (10 points): Given the function f(a, b, c, d) = m(3,4,5,10,14) + D(6,7): A: Fill in the timing diagram for f. B: Implement f using only 2-1 MUXes. Your circuit should not include more than four 2-1

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

Digital Systems Design Overview. ENGIN 341 Advanced Digital Design University of Massachuse?s Boston Department of Engineering Dr.

Digital Systems Design Overview. ENGIN 341 Advanced Digital Design University of Massachuse?s Boston Department of Engineering Dr. Digital Systems Design Overview ENGIN 341 Advanced Digital Design University of Massachuse?s Boston Department of Engineering Dr. Filip Cuckov Overview 1. IntroducGon to Programmable Logic Devices 2. Field

More information

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University

LECTURE 2: Delay models, std_ulogic and. EECS 316 CAD Computer Aided Design. with-select-when. Chris Papachristou Case Western Reserve University CAD Computer Aided Design LECTURE 2: Delay models, std_ulogic and with-select-when Instructor: Francis G. Wolff wolff@eecs.cwru.edu Chris Papachristou Case Western Reserve University Review: Full Adder:

More information

Boolean Logic Continued Prof. James L. Frankel Harvard University

Boolean Logic Continued Prof. James L. Frankel Harvard University Boolean Logic Continued Prof. James L. Frankel Harvard University Version of 10:18 PM 5-Sep-2017 Copyright 2017, 2016 James L. Frankel. All rights reserved. D Latch D R S Clk D Clk R S X 0 ~S 0 = R 0 ~R

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 10 April 12, 2012 Dohn Bowden 1 Today s Lecture First half of the class Circuits for Arithmetic Operations Chapter 18 Should finish at least

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

EECS 151/251A Fall 2018 Digital Design and Integrated Circuits. Instructor: John Wawrzynek & Nicholas Weaver. Lecture 5 EE141

EECS 151/251A Fall 2018 Digital Design and Integrated Circuits. Instructor: John Wawrzynek & Nicholas Weaver. Lecture 5 EE141 EECS 151/251A Fall 2018 Digital Design and Integrated Circuits Instructor: John Wawrzynek & Nicholas Weaver Lecture 5 Representations of Combinational Logic Combinational Logic (CL) Defined y i = f i (x0,....,

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download:

More information

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. *MR for LS160A and LS161A *SR for LS162A and LS163A

NOTE: The Flatpak version has the same pinouts (Connection Diagram) as the Dual In-Line Package. *MR for LS160A and LS161A *SR for LS162A and LS163A BCD DECADE COUNTERS/ 4-BIT BINARY COUNTERS The LS160A/ 161A/ 162A/ 163A are high-speed 4-bit synchronous counters. They are edge-triggered, synchronously presettable, and cascadable MSI building blocks

More information

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 1 DIGITAL SYSTEM DESIGN LAB (EE-330-F) DIGITAL SYSTEM DESIGN LAB (EE-330-F) LAB MANUAL VI SEMESTER RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 Department Of Electronics & Communication

More information

15.1 Elimination of Redundant States

15.1 Elimination of Redundant States 15.1 Elimination of Redundant States In Ch. 14 we tried not to have unnecessary states What if we have extra states in the state graph/table? Complete the table then eliminate the redundant states Chapter

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter Timing diagram of a DFF Lab 3 Gate Timing difference timing for difference kind of gate, cost dependence (1) Setup Time = t2-t1 (2) Propagation

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Synchronous 4 Bit Counters; Binary, Direct Reset

Synchronous 4 Bit Counters; Binary, Direct Reset Synchronous 4 Bit Counters; Binary, Direct Reset This synchronous, presettable counter features an internal carry look-ahead for application in high-speed counting designs. Synchronous operation is provided

More information

Lecture 22 Chapters 3 Logic Circuits Part 1

Lecture 22 Chapters 3 Logic Circuits Part 1 Lecture 22 Chapters 3 Logic Circuits Part 1 LC-3 Data Path Revisited How are the components Seen here implemented? 5-2 Computing Layers Problems Algorithms Language Instruction Set Architecture Microarchitecture

More information

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12]

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Digital logic circuits BINARY NUMBER SYSTEM electronic circuits that handle information encoded in binary form (deal with signals that have only two values, and ) Digital. computers,

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) March 19&21, 2002 John Wawrzynek Spring 2002 EECS150 - Lec13-seq3 version 2 Page 1 Counters Special sequential circuits (FSMs) that

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS 2 -> ESD PROTECTION CIRCUITS (INPUT PADS) -> ON-CHIP CLOCK GENERATION & DISTRIBUTION -> OUTPUT PADS -> ON-CHIP NOISE DUE TO PARASITIC INDUCTANCE -> SUPER BUFFER

More information

PALCE22V10 and PALCE22V10Z Families

PALCE22V10 and PALCE22V10Z Families PALCE22V10 PALCE22V10Z COM'L: H-5/7/10/15/25,Q-10/15/25 IND: H-10/15/20/25 COM'L: -25 IND: -15/25 PALCE22V10 and PALCE22V10Z Families 24-Pin EE CMOS (Zero Power) Versatile PAL Device DISTINCTIVE CHARACTERISTICS

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

University of Florida EEL 3701 Fall 2014 Dr. Eric. M. Schwartz Department of Electrical & Computer Engineering Wednesday, 15 October 2014

University of Florida EEL 3701 Fall 2014 Dr. Eric. M. Schwartz Department of Electrical & Computer Engineering Wednesday, 15 October 2014 Page 1/12 Exam 1 May the Schwartz Instructions: be with you! Turn off all cell phones and other noise making devices and put away all electronics Show all work on the front of the test papers Box each

More information

MODULE 5 Chapter 7. Clocked Storage Elements

MODULE 5 Chapter 7. Clocked Storage Elements MODULE 5 Chapter 7 Clocked Storage Elements 3/9/2015 1 Outline Background Clocked Storage Elements Timing, terminology, classification Static CSEs Latches Registers Dynamic CSEs Latches Registers 3/9/2015

More information

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY 1 McGill University Faculty of Engineering DIGITAL SYSTEM DESIGN ECSE-323 FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY STUDENT NAME McGILL I.D. NUMBER Examiner: Prof. J. Clark Signature: Associate

More information

Digital Logic Design - Chapter 5

Digital Logic Design - Chapter 5 Digital Logic Design - Chapter 5 S. Design a 2-bit binary up counter a) using positive-edge-triggered D flip-flops. b) using positive-edge-triggered T flip-flops. c) using positive-edge-triggered JK flip-flops.

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 4.1.1 Signal... 4 4.1.2 Comparison of Analog and Digital Signal... 7 4.2 Number Systems... 7 4.2.1 Decimal Number System... 7 4.2.2 Binary

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS150 - Digital Design Lecture 18 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS150 - Lec18-counters Page 1 Counters Special sequential circuits (FSMs) that sequence though a set outputs.

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS50 - Digital Design Lecture 8 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS50 - Lec8-counters Page Counters Special sequential circuits (FSMs) that sequence though a set outputs. Examples:

More information

EEE2135 Digital Logic Design

EEE2135 Digital Logic Design EEE2135 Digital Logic Design Chapter 7. Sequential Circuits Design 서강대학교 전자공학과 1. Model of Sequential Circuits 1) Sequential vs. Combinational Circuits a. Sequential circuits: Outputs depend on both the

More information

Please read carefully. Good luck & Go Gators!!!

Please read carefully. Good luck & Go Gators!!! Page 1/12 Exam 1 May the Schwartz be with you! Instructions: Turn off all cell phones and other noise making devices and put away all electronics Show all work on the front of the test papers Box each

More information

Chapter 13. Clocked Circuits SEQUENTIAL VS. COMBINATIONAL CMOS TG LATCHES, FLIP FLOPS. Baker Ch. 13 Clocked Circuits. Introduction to VLSI

Chapter 13. Clocked Circuits SEQUENTIAL VS. COMBINATIONAL CMOS TG LATCHES, FLIP FLOPS. Baker Ch. 13 Clocked Circuits. Introduction to VLSI Chapter 13 Clocked Circuits SEQUENTIAL VS. COMBINATIONAL CMOS TG LATCHES, FLIP FLOPS SET-RESET (SR) ARBITER LATCHES FLIP FLOPS EDGE TRIGGERED DFF FF TIMING Joseph A. Elias, Ph.D. Adjunct Professor, University

More information

NTE74177 Integrated Circuit TTL 35Mhz Presettable Binary Counter/Latch

NTE74177 Integrated Circuit TTL 35Mhz Presettable Binary Counter/Latch NTE74177 Integrated Circuit TTL 35Mhz Presettable Binary Counter/Latch Description: The NTE74177 is a high speed monolithic counter in a 14 Lead plastic DIP type package consisting of four DC coupled master

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

Sequential Circuits. CS/EE 3700 : Fundamentals of Digital System Design

Sequential Circuits. CS/EE 3700 : Fundamentals of Digital System Design Sequential Circuits CS/EE 37 : Fundamentals of igital System esign Chris J. Myers Lecture 7: Flip-flops, Registers, Counters Chapter 7 Combinational output depends only on the input. Sequential output

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information