EUV Mask Carrier & Load Port Standards Workshop Overview

Size: px
Start display at page:

Download "EUV Mask Carrier & Load Port Standards Workshop Overview"

Transcription

1 EUV Mask Carrier & Load Port Standards Workshop Barcelona Spain Oct. 19 th 2006 EUV Mask Carrier & Load Port Standards Workshop Overview Phil Seidel SEMATECH (Co-Chair) Long He SEMATECH / Intel (Co-Chair) Dave Halbmaier Entegris (Co-Chair) Michael Salib Intel (Co-Chair) SEMATECH, the SEMATECH logo, AMRC, Advanced Materials Research Center, ATDF, the ATDF logo, Advanced Technology Development Facility, ISMI and International SEMATECH Manufacturing Initiative are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 2 Topic: EUV Mask Carrier & loadport Stdrs. Start Time: Topic/Presenter (Organization): 13:30 Opening Statements/P. Seidel (SEMATECH) 13:0 General Status, Agreements, and Plans Resulting from July '06 San Fran. P. Seidel (SEMATECH) 1:00 Vacuum Pod for EUV Mask/H. Horibe-san (TDK Corporation) 1:15 Mask Maker "Customer View" Perspective/M. Lering (Qimonda/AMTC) 1:25 Japanese EUV Mask Carrier Standards Activity Update/Ota-san (Selete) 1:0 SEMATECH Update/L. He (SEMATECH/Intel) 1:5 Current Semi Draft Ballot Proposal Review/D. Halbmeier (Entegris) 15:15 Detailed review and Discussion of current Draft/P. Seidel (SEMATECH) Discussions will be focused on but not limited to section details such as: > General review of sections and proposed values > Review & discuss details on carrier exclusion tolerance > EUV reticle conducting and grounding > Reticle frame dimensions > Reticle enclosure size, exclusion volume > Reticle position within enclosure, enclosure mass, contact Points > Carrier outer dimensions, exclusion volume, mass 16:5 Assess current status, direction, schedule on Blue Ballot Draft by Y.E. '06 17:00 Adjourn

3 Welcome to the EUV Mask Flatness & Carrier/Loadport Workshop 3 The EUV Mask Flatness & Carrier/Loadport Workshop is one in a collection of single-focus industry meetings designed to increase global knowledge in key areas of semiconductor R&D. As part of the SEMATECH Knowledge Series, this series focuses on accelerating solutions for critical challenges in the nanoelectronics industry. For more details on other meetings in this series, please pick up one of our SEMATECH Knowledge Series flyers located in the registration desk area.

4 Outline: Welcoming and specific meeting guidelines Objectives and goals of the meeting Brief historical timeline Overview and output from July 11 th 2006 EUV Carrier & Load Ports Standards Meeting (Semicon West San Francisco) Activity and accomplishments highlights since July 11 th 06

5 Public Meeting Notice: 5 This activity is affiliated with the Semi Physical Interface & Carriers Committee. (Paul Trio Semi main contact) Semi meeting guidelines, legal, and intellectual property handling are to be followed This EUV Mask Carrier & Load Port Task Force = EUV Standards Sub Committee (EUVSSC) is recognized and reports through the N.A. Physical Interface & Carrier (PIC) Committee This meeting is classified as an Open Conference per the U.S. Export Administration Regulations. All meeting attendees are permitted to take notes or otherwise make a personal record of these proceedings. Some representatives are participating through teleconference and internet WebEx; please speak clearly for those listening through teleconference line Presentations and meeting minutes will be available to the public which may include posting on the SEMATECH website or attachment packages.

6 6 Semi Global Participation for EUV Carriers & Load Ports This is a global coordination effort; all regions understand that EUV Mask Carriers & Load Port Standards Development should be unified Key stakeholders (suppliers and organizations) are global Single requirement set is most effective and cost efficient We would like to thank and recognize all of the Semi regional PIC Committees and Task Forces that are participating Asian PIC and Semi (Japan, Korea) European PIC and Semi North American PIC and Semi

7 7 EUV Mask Carrier & Load port Standards Meeting Purpose: Review and improve the EUV mask carrier/handling and loadport standard requirements needed for SEMI specification draft. Updated presentations will be provided on stakeholder and or regional activity, Propose updated proposal of the EUV Mask Carrier & Loadport Standards. Significant review and discussion period will be conducted on the current draft.

8 8 EUV Mask Carrier & Load Port Standards Expected Results: Obtain industry direction on requirements needed to proceed in the EUV mask carrier, carrier frame, common handling, and other requirements. Review and obtain inputs and suggested modifications to the updated SEMI draft ballot document. Direction will be obtained on the proposed specifications to identify which areas require additional agreements or data. Assessment of the current status and scheduled plan to have a completed blue informational ballot by Y.E will be made.

9 9 Status of SEMI EUV Reticle Handling Standardization Handling Standards SEMI TF formed Ballots Standards available

10 10 EUV Mask Carrier / Load Port Standards W/S Global current EUV Mask Carrier & Load Port Standard Campaign in 20 th month Feb 05 San Jose CA (SPIE): Review of state of art carrier data and experiments July 06 San Francisco CA (Semicon): Official dedicated Task Force Sub Committee under N.A. PIC Oct 06 Portland OR (Semi Fall 2005 Conference): Review stakeholders issues and requirements survey (questions to answered) First pass at new carrier & L.P. Standard Document Nov 06 San Diego CA ( th EUVL Syp) Feb 06 San Jose CA (SPIE): 35 participants from 19 org. Most recent perf. data to date July 06 San Francisco CA (Semi West): 27 participants from 19 org.

11 EUV Mask Carrier / Load Port Standards W/S July 06 San Francisco CA (Semi West): 27 participants from 19 org. Morning: Reviewed details of four standards proposals ASML: system based from ASML EUV alpha tool [G. van der Feltz] Canon / Nikon / Entegris: joint proposal of EIP [D. Halbmaier] Alcatel: new bottom opening carrier proposal [P. Maquin] SEMATECH: mask contact area proposal (survey driven) [P. Seidel] Afternoon: In depth discussions, agreements, and path forward Overall bounds where in life cycle standard requirements cover Multiple carrier / load port component area commonality (ASML C/N/E) Start populating Semi draft ballot with actual tolerances / spec with detailed schedule having multiple check-points over next 5 mo. 11

12 July 06 Highlight Outputs & Agreements (pt 1) Agreement and reinforcement of overall standards schedule for robust blue ballot by Q1 07 and voting (yellow) ballot passing Q2 07 Agreements on bounds within EUV mask life cycle where standard requirements are to cover Starting at shipment from mask house through the mask end of life including repair / clean loops back to Mask House Expectation is the standard will define ONE carrier system / solution needed to meets these requirements. Mask House have many equipment / processes with pattern side up; many tools may need retrofit to flip masks AMTC MH stakeholder presented considerations including specifying reduced end effecter contact areas, carrier sealing / hold down force requirements, and need for some mask house carrier needs. Agreements generally made on which carrier and load port component areas need standardization Areas identified with most common ASML, Canon / Nikon / Entegris, and Alcatel proposals Outline of the common areas which were supported by multiple proposals are defined 12

13 13 July 06 Highlight Outputs & Agreements (pt 2) Agreements were made to begin populating the Semi draft ballot with actual tolerances / spec with detailed schedule having multiple Task Force review check-points over next 5 months OEM s need to understand alignment and placement issues specific to EUV Schedule of meetings and activities was developed Current RSP200 carrier load port systems shown not to perform at needed defect levels to support EUV mask handling. TF recommends effort to understand the improvements in load ports needed Additional discussion items included important areas to consider: Use of Kinematic Coupling (KC) pins for location of frame or enclosure on / in carrier required. Healthy discussion of needed tolerance stack build up analysis to assure no rubbing / particle gen. (OEM input) Use of purged inert gas within carriers during shipment and stocking to improve molecular contamination Ota-san (Selete) proposed an integration and stakeholder involvement requirements chart

14 1 Activities and Accomplishments since July 06 Several follow on teleconferences were accomplished however global stakeholder representation and progress was less than expected Several action items were address and completed by the Task Force Detailed discussions ensued with C/N/E on key standard items needing to be quantified in draft ASML and C/N/E initial discussion to obtain initial agreement proposals for additional commonality Semi JP Regional PIC committee meetings took place (update review by Otasan) Improvement to the EUV Mask life cycle process flow chart made by AMTC (M. Lering Qimonda / AMTC) An additional key stakeholder with new proposed carrier solution identified based on bottom opening vacuum system (TDK Corp.) Co-chair update of the working SEMI pre-blue ballot with proposed additional definition (Section 7.0) and contact area exclusion map. This was deployed to the global task force October 12 th 2006.

15 EUV Mask Carrier / Load Port Standards W/S Commonality with degree of similar specs EUV Mask Carrier & Load Port Specification ASML C/N/E Alcatel Carrier end-effecter exclusion volume X X? Frame/enclosure end-effecter exclusion volume X X? Frame/enclosure position with Carrier X X? Frame/enclosure interface to carrier to be KC pins X X? Reticle alignment within frame/enclosure X X? Alignment optics, windows, size, transmission rate X X? Info pads on the Carrier X? Carrier sensing pads X? ID. Exclusion volume, tags, labels, RFID, etc X X? Mass of carrier, frame, enclosure including maximum and minimum mass. X X X Carrier to load port interface to be KC pins like RSP 200 in Compliant X X SEMI E100. with E57? Vacuum Compatibility X X X Mask carrier and handling with vacuum X Mask carrier specifications for mask usage and shipping X X Load port bottom opening carrier design X X X Inner box (pod) within outer carrier concepts X X X Mask conductive / charge dissipation requirements X X? Out gassing requirements X X Data Ge Areas with stronger commonality 15

16 16 EUV Mask Carrier / Load Port Standards W/S Needed Specifications For Segments (Ota-san) Action 1. Open/close carrier RSP150, RSP200, FOUP, weight of carrier 2. Protective enclosure (PE) carrier base E-E exclusion volume for carrier, size, shape, weight of PE 3. Open/close protective enclosure size, shape, weight of PE. Mask protective enclosure E-E exclusion volume for PE 5. Protective enclosure vacuum Air path, filters 6. Protective enclosure tool inside Detailed size of PE, registration windows Mask process tool Inspection tool Exposure tool X X X X X X X X X X X X X

17 17 EUV Mask Carrier / Load Port Standards W/S - Update of Standards Domain (life cycle) Life of a EUV Reticle Attempted lifecycle (July 06) Proposed Lifecycle Flow by M. Lering AMTC / Qimonda Blank Supplier Resist mask characteristics: Blank maker Absorber Buffer Capping Layer Polishing Patterning- Cr coating Clean Resist Multilayer E-Beam Mask maker applied BORB C low expansion substrate Blank inspection Mask Mfr. Data preparation EUV Blank making process Shipping Resist coating Pattern generation Inspection Repair Inspect Clean Litho process Pellicle X BORB VB Etch Stocker Strip full field Transport Buffer etch Maintenance Inspection (Wet) Clean Inspect Sorter X Inspect Stocker Metrology OHT Stepper OHT IF Phase Shift AMHS Stocker Inspection Transport Fab Use IF Repair Final clean/co2,selective? Inspect OHT End of Life BORB Cleaning FINAL audit EUV Scanner Load Change ESP Cassette to Vacuum Box Library EUV Mask Carrier Shipping Pre aligning & Load Port Standard EUV Blank Shipper Exposure Library will be defined around single system Unload EUV Reticle Carrier (solution) leaving Mask Mask House under till vacuum EOL Mask user (July 11 th 2007) Mask under atmospheric pressure

18 EUV Mask Carrier Contact Area / exclusion zone map improvement Backside corner 5 chamfer reticle North backside surface Backside corner chamfer Contact / frame or carrier point Handling Areas for end effectors reticle West backside surface Mechanical Stage Contacts 6 Corner segments are 5.0mm x 5.0 mm Center Line segments are 6.0mm x 5.0 mm W reticle frontside surface All other segments are.0mm x 5.0 mm N E mm mm reticle East backside surface S mm mm 6.35 mm mm Backside corner chamfer 5 reticle South backside surface 18

19 19 Summary Task Force continues to support schedule of developing SEMI draft by Q1 07 and enact voting ballot (yellow) by Q2 07 Most critical elements of SEMI standard is needed to support timely infrastructure coordination in that will influence beta systems developments Global coordination / consensus needed to suppress regional standards Additional carrier and load port standards revisions through evolution is expected over the next few years If refined additional solutions become robust and gain interest by the stakeholders subsequnet standards revisions are expected to cover those elements of the new solution needed to be defined

20 BACK UP MATERIALS 20

21 21 Action Items recorded at July 11 th meeting: 1. Need to use ONE mask life cycle chart. Proposal is to use the newer Entegris chart with modifications (to include returns to Mask house) Owner Entegris to update their chart [DONE] 2. Next Task Force call should be week of July 25th. Needs OEM and Mask Makers included [DONE] 3. Send out current Task Force distribution list to review and update the D.L. to include these OEM and Mask Makers Owner P. Seidel [DONE]. Contact Alcatel (i.e. Phillipe Maquin) to understand their proposal better and assess their roadmap etc... Are any exposure tool companies willing to use this approach? Owner Long He [DONE] 5. Entegris will investigate the use of FOUP standard(s) in relationship to the KC pin alignment required tolerances that could be used for Standard. Owner D. Halbmaier 6. Send out dates and info for the EUV Mask Carrier & LP meeting at Barcelona to the Task Force (Meeting already scheduled). Owner P. Seidel [DONE] 7. Task Force should review the results of the previous must haves survey results from Q2 Q 2005 to identify whether new items need to be included in the Standard that was not identified in the July 11th meeting. Owner TBD: 8. A list of key OEM supplier contact names to be submitted for rest of blue ballot refinements Owner D. Halbmaier Integris [DONE] 9. A list of key mask Maker supplier (both captive and merchant) contacts names to be submitted for rest of blue ballot refinements Owner L. He SEMATECH [DONE] 10. A list of key exposure tool stepper supplier contact names to be submitted for rest of blue ballot refinements Owner Gomei-san Canon [DONE]

22 22 EUV Mask Carrier / Load Port Standards W/S Schedule moving forward Semi Draft (Blue) Ballot still holding for Y.E. 05 Schedule aggressive but rate of collaboration and input is improving Follow up teleconferences at 2 week intervals till Y.E. First follow up Week of July 25 th Major F-2-F check point agreed during Mid Sep. (BACUS)? Major F-2-F checkpoint at Barcelona Oct. Voting Ballot (Yellow) by 2Q 07 Program and Will define standard requirements for use starting at shipment from mask house through end of life (will include repair / clean loops back to mask house). Defining this standard that ONE carrier meets these requirements.

23 23 EUV Mask Standards Status Updates Standards for mask handling Carrier and Enclosure Molecular contamination & cleaning Contact areas Load port and interfaces Reticle handling SEMI P37: EUV mask substrates SEMI P38: EUV mask blanks and absorber films SEMI P0: EUV mask chucking Standard: Mask ID marks Draft(s) by Q 06 Voting by Q1 07 Updates expected 07 Future Draft Future Draft 027 For more in-depth information, contact Paul Trio (ptrio@semi.org) to obtain a copy of the SEMI Standards Technical Education Program (STEP) on EUV Masks from July 200. North American Physical Interface & Carrier (PIC) Committee North American Micro Patterning Committee

24 EUV Mask Standards Schedule (Q1 06) Q1 Q2 Q3 Q Q1 Q2 Q3 Q Q1 Q2 Q3 Q Q1 Q2 Q3 Q Q1 Q2 Q3 Q Q1 Q2 Q3 Q EXPOSURE TOOL ROADMAPS Exitech MET ASML Alpha Demo (< 10 wph) ASML Pilot Production Nikon High NA set 3 Nikon EUV-1 (Beta) Nikon EUV-2 (HVM) SFET (Small Field Exposure Tool) Canon VS1 (pre-prod.) Modify SEMI P Modify SEMI P Modify SEMI P0 Frame domain* ID marks Layout* Fiducial marks Carrier / Load Port* * Standards to be accelerated through N.A. PIC EUVSSC Task Force 2 Symbol key - SEMI draft - SEMI voting ballot

25 Carrier & Load Port Standard Survey EUVSSC TF carrier standards development is using results from comprehensive stakeholder survey process to drive specification requirements 16 companies participated in multiple pass survey completed in 2H 05 that has yielded very detailed requirement needs Survey identified what are the needed specifications in the standard and the data needed to acquire A Mini-team organized the results with mapping approach used to understand what type of data is needed to develop which specs Standards Must Haves Questions to be answered Data needed to be acquired 5 general specification areas have been identified for Work Groups Results reviewed and discussed at the EUV Standards SC SEMI Portland OR (10/11/05) and EUVL Symp. W/S 11/11/05 The data / results are to be finalized by agreement today with obtaining any final inputs, concerns, or edits. Provides foundation for detailed work group activity 25

26 Carrier & Load Port Standard Survey 26 Category total line items High Medium Low Not ranked Must-haves Questions Data Item Items MUST be included in EUV mask standards Median Average # comp's ranked Enclosure (Inner Pod / Frame) 2 enclosure dimension enclosure contacts points Frame domain, size, mass, if there is a permanent reticle frame it must be <= 7" square if there is a permanent reticle frame it must not hang more than.25" below the reticle if there is a permanent reticle frame it must not go more than.25" above the reticle Pellicle solution standard(s) If the standard includes inner case to be opend in exposure tools, how is it opend? Locations where bracket may contact mask Outgassing 13 maximum outgassing rate of the enclosure maximum outgassing rate of the carrier Definition of allowed contaminations / deposits outgassing limits: water adsorbtion, hydrocarbons, inorganic & organic contamination Materials of the Contamination, Contamination Level The dependence of the defects and contamination levels on the surface materials of carrier or structure The dependence of the defects and contamination levels on the methods of holding masks The dependence of the defects and contamination levels on the surface materials of mask outgassing of reticle, carrier, frame, Airborne Molecular Contamination and Particle Class for Mask handling and storage Small sample of survey results to show ranking approach

27 Are particles generated during handlng or generation be minimized? Is chuckin standard P38 adequate? What is the particle ge supplier handling Ret Carrier & Load Port Survey Mapping: What are the sources of molecular contamination? What are the carrier and enclosure outgassing specifications? Particle Generation & Contamination Outgassing, airborne molecular contamination, particle requirements Questions Questions MUST be answered in the process of EUV mask standards developments Data to Acquire vs. Questions To Answer Data To Acquire That will Help answer the questions Enclosures (= Inner pod, Frame, Bracket, etc...) Impact of molecular contamination on mask ageing and quality (Outgassing levels of materials used in current and candidate designs, water absorption, hydrocarbons, other, contamination mechanisms including temperature and environments) Particles and molecular contamination for different operation:handling in the fab, shipping, storage: [different enclosures, different carriers, different pattern orientation up / down; and carrier conditions (purged,vacuum ) ] Data to Acquire Carriers Cleaning cleanliness after carrier cleaning in regards to remaining particles, metals, ionic etc. Cleaning efficiency; Mask carrier cleaning/and cleaning cycle data Physical property 27

28 flow? of the standard should be utilized Should One carrier or m What is the Wh Should carrier and Loadport be based Carrier & Load Port Survey Mapping: Questions When is the protective enclosure used in the blank and Enclosures/Carriers/Loadports What is the best protection concept? Partial enclosure (bracket/frame); Full enclosure (Inner Pod); No enclosure Questions MUST be answered in the process of EUV mask standards developments Specification Must Haves vs. Questions To Answer Item Items MUST be included in EUV mask standards Enclosures (= Inner pod, Frame, Bracket, etc...) 1 Enclosure domian and dimensions (exclusion volumes) 2 Enclosure mass 3 requirements to open and close enclosure Carriers Carrier ID location Physical property 5 Carrier size, or dimensions 6 Carrier base form factor 7 Carrier mass 8 9 carrier volume form factor for stocker, inspection and exposure tool design 10 maximum force that can be applied on the enclosure 11 maximum force that can be applied on the mask inside the enclosure 12 Environmental condition inside Carrier, such as what gas, temperature, and pressure Mask protection 13 ESD Protection Must Have Items 28

29 Status of Draft Protection Standards EUV mask enclosure domain: Early SEMATECH Proposal Defines a domain volume around the mask form factor within which any enclosure element must fit Draft discussed with stakeholders and feedback received D E F Mask Frame domain Arbitrary end effector C LX,Y C B A L Z Dim. Value (mm) A B C D E F Same as P37 P mm P mm Same as P37 P mm P mm C B A C LX,Y 29

30 30 Initial Proposed handling area standard Area reserved for handling Q/0 Q1/05 Survey Results These are the mask sidewalls. 5 mm A B C This central block is the EUV mask pattern side, with a 5 mm border of handling area in segments. Corner segments (A) are 5 mm x 5 mm; side center segments (B) are 6 mm x 5 mm; and side general segments (C) are mm x 5 mm (there are 17 side general segments between the corner and the side center segments). 5 mm 5 mm Backside corner chamfer. Plan view of front and back sides of mask D Mask Handling areas on all four sides The mask backside edges. Note corners D are the same segment. D 1 - key 1 company 2 companies 3 companies companies 5 companies 1 company alignment area EUV SSC Task Force recommended to retain all of original proposed 5mm FS, BS, and sides (10/11/05 SEMI Portland OR)

31 Status of Mask Contact Area Standard Survey and Analysis 12 different stakeholder organizations responded THANK YOU TO ALL COMPANIES THAT RESPONDED: (AIXUV, AGC, ASML, Asyst, Brooks, Entegris, Fala, Infineon, Intel, KLA Tencor, Lasertec, and Nikon) Contact area compatibility investigated through survey responses for entire life cycle population Assess the contact areas required through the EUV Mask life cycle (from starting materials to Usage in FAB) Segmentation was established for three general grouping of the mask life cycle (6 7 per segment) Substrate & Blank (material suppliers, blank metrology, handling) Mask (Blank suppliers, mask Makers, mask inspection, handling) Mask Usage (Mask makers, exposure tool, IC mfg., handling) More stakeholder surveys may be needed if finer segmentation is required 31

32 2 nd Pass Survey Results (12 organizations) Enclosure / Frame Contacts 1 supplier vote reticle North backside surface Backside corner 5 5 chamfer 2 supplier vote 3 supplier vote Backside corner chamfer supplier vote 5 supplier vote > / = 6 supplier votes reticle West backside surface N 6 W 5 reticle frontside surface S E mm mm mm mm reticle East backside surface 6.35 mm mm Corner regions appear very common Stakeholder using edges of sides and beyond frontside corner segments (earlier requested as exclusion zones) Carrier / frames alone offer some open available contact areas for transfer Backside corner chamfe 5 5 reticle South backside surface 32

33 2 nd Pass Survey Results (12 organizations) End Effectors Contacts 1 supplier vote Backside corner 5 5 chamfer reticle North backside surface Backside corner chamfer 2 supplier vote 3 supplier vote supplier vote 5 supplier vote > / = 6 supplier votes reticle West backside surface N 6 W 5 reticle frontside surface S E mm mm mm mm reticle East backside surface 6.35 mm mm Extensive use of almost entire area with less dominate concentrations Stakeholder using contact area further inside target zones End Effectors contact higher populations appear on east and west edges Backside corner chamfe 5 5 reticle South backside surface 33

34 2 nd Pass Survey Results (12 organizations) Stage Contacts reticle North backside surface 1 supplier vote Backside corner 5 5 chamfer 2 supplier vote Backside corner chamfer 3 supplier vote supplier vote > supplier vote reticle West backside surface N 6 W 5 reticle frontside surface S E mm mm mm mm reticle East backside surface 6.35 mm mm Not large response on needed stage contact areas Stakeholder using edge contact and inside target backside zones Some commonality on frontside and backside corner regions Backside corner chamfe 5 5 reticle South backside surface 3

35 2 nd Pass Survey Results (12 organizations) Stage vs. End Effectors End Effectors Stage reticle North backside surface 1 supplier vote 1 supplier vote Backside corner 5 5 chamfer Backside corner chamfer 2 supplier vote 2 supplier vote 3 supplier vote 3 supplier vote supplier vote supplier vote supplier vote Interference reticle West backside surface N 6 W 5 reticle frontside surface S E mm mm mm mm reticle East backside surface 6.35 mm mm Backside corner chamfe Major interference at multiple segment spans Interference areas are also at high populations regions: therefore renegotiating space unlikely (11 cells) Clearly also not conducive to support a overall contact area spec. Need to see segments of food chain 5 5 reticle South backside surface 35

36 2nd Pass Survey Results (12 Blank organizations) Sector (7 org.) Stage vs. End Effectors End Effectors Stage reticle reticle North North backside surface 1 supplier vote 1 supplier vote Backside corner chamfer 2 supplier vote 2 supplier vote reticle reticle West West backside surface N N 6 6 WW reticle reticle frontside frontside surface surface E S S supplier overlap mm mm mm mm reticle South backside surface reticle South backside surface mm mm Backside Backside corner corner chamfe chamfer reticle East backside surface reticle East backside surface mm mm mm mm Backside corner cham Backside corner chamfe Significant Food Chain interference at all Segmentation corner regions Interference decreases interference areas are also areas at high (15 cells) populations regions: Although therefore some renegotiating interference space regions unlikely exists it (11 is only cells) due to ~1 Clearly supplier not overlap conducive to support If Negotiations the small a overall number with contact the of area suppliers spec. with to Need reduce overlap to see segments are interference willing of to food areas modify chain can a few provide cells: eliminate Then no issues interference exists 36 3 supplier vote 3 supplier vote supplier vote supplier vote 5 supplier vote Interference

37 37 Mask Contact Area Survey Added Input Mask handling area comments / feedback Q 05 Q Make the reticle contact zones consistent with today on RSP, so that equipment suppliers can make equipment that is common between reticles and EUV reticles, as much as possible. This will reduce cost to the end user. 2. Add No Man's Land Exclusion Zones; small areas that cannot be contacted by either the end effectors, the reticle support, or the stage. A built in tolerance. 3. Remember to include beam paths in order to detect the reticle presence and proper placement. Exclusion areas for reading the location and position of the reticle with a through-beam system. Minimize location areas allowed for the container and for end effectors Minimum area of contact points control contamination better because it will be created just at those contact points. Focus the areas of potential defect creation and control. Make the allowable contact areas as small as reasonable.

38 Requested changes to draft frame standard Physical domain values should be changed Clearance for end effector robot needs to be 2-3 mm, not 0.5mm (dimensions B and E) Height of frame should be 10 mm (instead of 5.5 mm) DOF of optical based mask inspection systems need to be considered when establishing frame domain stand-offs Minimum number of contact points should be three instead of four Frame domain standard appears to need improvements from earlier SEMATECH proposal. Frame domain standard activity will be done with the NA PIC and probably integrated to the Carrier / Load Port Spec. 38

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

E152 Standard Revision: EUV-pod Reticle Carrier

E152 Standard Revision: EUV-pod Reticle Carrier E152 Standard Revision: EUV-pod Reticle Carrier February 27, 2011, San Jose EUV Reticle Handling TF Co-chairs/Key Contributors: Long He (Intel), David Halbmaier (Entegris), John Lystad (Entegris), John

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

RS-C Flexible Reticle Stocker

RS-C Flexible Reticle Stocker RS-C Flexible Reticle Stocker CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time Full Flexibility The RS-C is a fully

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

ITG Japan. September 15 th, Makoto Yamamoto, Muratec ITG Japan. Interoperability Test Group Japan 1

ITG Japan. September 15 th, Makoto Yamamoto, Muratec ITG Japan. Interoperability Test Group Japan 1 ITG Japan September 15 th, 2010 Makoto Yamamoto, Muratec ITG Japan Interoperability Test Group Japan 1 Interoperability Test Group for 450mm Industry Standard development Japan Name: Interoperability Test

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

SMP625 Product Specifications

SMP625 Product Specifications ADVANCED MATERIALS HANDLING SPECIFICATION SHEET SMP625 Product Specifications SPECIFICATIONS Reticle size 152 mm 152 mm 6.35 mm (6" 6" 0.250") Reticle capacity 1 Mass Approximately 500 g Color Black Materials

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Innovation in Semiconductor Equipment a Tec-Sem Group company. Phil Kim HP: 上海成道贸易有限公司

Innovation in Semiconductor Equipment a Tec-Sem Group company. Phil Kim HP: 上海成道贸易有限公司 Innovation in Semiconductor Equipment RX TWS-300.ppt Brooks Automation Page 1 Aug 01 as I n t e l l i g e n c e i n A u t o m a t i o n TM 上海成道贸易有限公司 Phil Kim HP:159-2160-0253 philkim@sdglovy.com Group

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

We published the text from the next page.

We published the text from the next page. Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

TEAC Green Procurement Guideline

TEAC Green Procurement Guideline Page 1 of 5 TEAC Green Procurement Guideline ES0101 3.0 edition October 1, 2010 TEAC Corp. (teaceco@teac.co.jp) Table of contents 1. Application...2 2. Purpose...2 3. Definition of terms...2 4. Ranks for

More information

Facilities Management

Facilities Management Policy Number: 700.20 Title: Chemical Fume Hood Policy Implementation Date: 2002 Last Audited: August, 2017 Last Revised: October 23rd, 2017 Facilities Management Introduction The laboratory chemical fume

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

2018 Project Prioritization and Budgeting Process

2018 Project Prioritization and Budgeting Process 2018 Prioritization and Budgeting Process Brian Hurysz Manager, Market Products Budget and Priorities Working Group August 18, 2017, Krey Corporate Center Agenda 2018 Prioritization Process Prioritization

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Conclusions and further thoughts on changes within ESTEP as preparation for the broader discussion within ESTEP

Conclusions and further thoughts on changes within ESTEP as preparation for the broader discussion within ESTEP European Steel Technology Platform Avenue de Cortenbergh, 172 B-1000 Brussels Belgium T +32 (2) 738 79 47 F+32 (2) 738 79 56 klaus.peters@estep.eu http://cordis.europa.eu/estep/ Klaus Peters, SG ESTEP

More information

2-input EXCLUSIVE-OR gate

2-input EXCLUSIVE-OR gate Rev. 01 7 September 2009 Product data sheet 1. General description 2. Features 3. Ordering information is a high-speed Si-gate CMOS device. It provides a 2-input EXCLUSIVE-OR function. Symmetrical output

More information

Power Resistor for Mounting onto a Heatsink Thick Film Technology

Power Resistor for Mounting onto a Heatsink Thick Film Technology Power Resistor for Mounting onto a Heatsink Thick Film Technology DESIGN SUPPORT TOOLS click logo to get started FEATURES 300 W at 85 C bottom case temperature Wide resistance range: 0.3 to 900 k E24 series

More information

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION Rev D1, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC none ic-wg BLCC WGC ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR A/B, d 44mm

More information

Power Resistor Thick Film Technology

Power Resistor Thick Film Technology Power Resistor Thick Film Technology DESIGN SUPPORT TOOLS click logo to get started FEATURES 50 W at 25 C case temperature heatsink mounted Direct mounting ceramic on heatsink Broad resistance range: 0.010

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

(2) this disclaimer and the notice below accompany the Document at all times.

(2) this disclaimer and the notice below accompany the Document at all times. SEMI AUX029-0214 INTERLABORATORY STUDY TO DETERMINE PRECISION OF METHOD 1 OF SEMI MF673, TEST METHOD FOR MEASURING RESISTIVITY OF SEMICONDUCTOR SLICES OF SHEET RESISTANCE OF SEMICONDUCTOR FILMS WITH A

More information

IBIDEN Group Green Procurement Guidelines. (Version 6)

IBIDEN Group Green Procurement Guidelines. (Version 6) IBIDEN Group Green Procurement Guidelines (Version 6) October 1, 2017 [Table of Contents] 1. Introduction P3 2. IBIDEN Group s Basic Policy for the Environment P4 3. Objective of the Guideline P5 4. Definitions

More information

EUVL for HVM: Progress Update

EUVL for HVM: Progress Update EUVL for HVM: Progress Update Mark Phillips Intel Corporation EUVL Workshop, Maui, Hawaii, 17 June 2015, Mark Phillips (Intel) Outline EUV source and system performance EUV/193i complementary patterning

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Hazard Communication Standard and GHS Update. Maureen Ruskin Deputy Director Directorate of Standards and Guidance

Hazard Communication Standard and GHS Update. Maureen Ruskin Deputy Director Directorate of Standards and Guidance Hazard Communication Standard and GHS Update Maureen Ruskin Deputy Director Directorate of Standards and Guidance CPDA March 2018 Overview Hazard Communication Rulemaking Considerations Interpretations

More information

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below.

In data sheets and application notes which still contain NXP or Philips Semiconductors references, use the references to Nexperia, as shown below. Important notice Dear Customer, On 7 February 2017 the former NXP Standard Product business became a new company with the tradename Nexperia. Nexperia is an industry leading supplier of Discrete, Logic

More information

Surface Mount Multilayer Ceramic Chip Capacitor Solutions for High Voltage Applications

Surface Mount Multilayer Ceramic Chip Capacitor Solutions for High Voltage Applications Surface Mount Multilayer Ceramic Chip Capacitor Solutions for High Voltage Applications ELECTRICAL SPECIFICATIONS X7R GENERAL SPECIFICATION Note Electrical characteristics at +25 C unless otherwise specified

More information

Silicon N-channel dual gate MOS-FET IMPORTANT NOTICE. use

Silicon N-channel dual gate MOS-FET IMPORTANT NOTICE.  use Rev. 4 2 November 27 Product data sheet IMPORTANT NOTICE Dear customer, As from October st, 26 Philips Semiconductors has a new trade name - NXP Semiconductors, which will be used in future data sheets

More information

MicroCell User manual

MicroCell User manual MicroCell User manual In Vitro Diagnostics Do not re-use, discard after procedure Caution: Consult accompanying documents Catalog number Vitrolife Sweden AB, Box 9080 (Gustaf Werners Gata 2) SE-400 92

More information

Temperature range Name Description Version XC7SET32GW 40 C to +125 C TSSOP5 plastic thin shrink small outline package; 5 leads; body width 1.

Temperature range Name Description Version XC7SET32GW 40 C to +125 C TSSOP5 plastic thin shrink small outline package; 5 leads; body width 1. Rev. 01 3 September 2009 Product data sheet 1. General description 2. Features 3. Ordering information is a high-speed Si-gate CMOS device. It provides a 2-input OR function. Symmetrical output impedance

More information

RADIATION SAFETY GUIDELINES FOR NON-USERS

RADIATION SAFETY GUIDELINES FOR NON-USERS RADIATION SAFETY GUIDELINES FOR NON-USERS This is a Read and Sign Awareness Training document. You should read and sign this document if you: 1. DO NOT work directly with radioactive materials, but 2.

More information

REPORT ON INVESTMENTS

REPORT ON INVESTMENTS REPORT ON INVESTMENTS D.T2.3.3 Investments for technical equipment for the implementation of Web-GIS platform in Mantova 1 Local support group designing Mantova Web-GIS platform. Picture by Maria Giulia

More information

Small Gage Pressure Sensor

Small Gage Pressure Sensor Small Gage Pressure Sensor FEATURES Improved stability with integrated field shields Small SO8 surface-mount package 90 millivolt output Constant current or constant voltage drive Ported configuration

More information

Surface Mount Multilayer Ceramic Chip Capacitors for Safety Certified Applications

Surface Mount Multilayer Ceramic Chip Capacitors for Safety Certified Applications Surface Mount Multilayer Ceramic Chip Capacitors for Safety Certified Applications FEATURES Approved IEC 60384-14 Specialty: safety certified capacitors Wet build process Reliable Noble Metal Electrode

More information

Avery Dennison LW Series Retroreflective Window Sticker Film Issued: July 2013

Avery Dennison LW Series Retroreflective Window Sticker Film Issued: July 2013 LW series window stickers are designed to be variable printed for use inside or outside the vehicle. Reflective permit stickers provide easy verification for authenticity. Performance: L-S-300C Table IV

More information

Annex: Environmentally Hazardous Substance Management Standard

Annex: Environmentally Hazardous Substance Management Standard KGS Procurement Standard Annex: Environmentally Hazardous Substance Management Standard Version 5.9 (Aug, 2017) Kitagawa Industries Co., Ltd. Contents Purpose Scope of Application Application of the Standard

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Double Metallized Polypropylene Film Capacitor Radial AC and Pulse Capacitor

Double Metallized Polypropylene Film Capacitor Radial AC and Pulse Capacitor End of Life September 018 - Alternative Device: MMKP8 Double Metallized Polypropylene Film Capacitor Radial AC and Pulse Capacitor FEATURES Material categorization: for definitions of compliance please

More information

LedEngin, Inc. High Luminous Efficacy White Power LedFlex Emitter LZ4-00CW15. Key Features. Typical Applications. Description

LedEngin, Inc. High Luminous Efficacy White Power LedFlex Emitter LZ4-00CW15. Key Features. Typical Applications. Description High Luminous Efficacy White Power LedFlex Emitter LZ4-00CW15 Key Features High Luminous Efficacy 15W White LED Unique package design with ceramic substrate, integrated glass lens and Flex PCB New industry

More information

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant

More information

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering

More information

3mm Photodiode PD204-6B

3mm Photodiode PD204-6B 3mm Photodiode Features Fast response time High photo sensitivity Small junction capacitance Pb free This product itself will remain within RoHS compliant version. Description is a high speed and high

More information

Platinum SMD Flat Chip Temperature Sensor

Platinum SMD Flat Chip Temperature Sensor Platinum SMD Flat Chip Temperature Sensor FEATURES Standardized characteristics according to IEC 60751 Advanced thin film technology Short reaction times down to t 0.9 2 s (in air) Outstanding stability

More information

50 W Power Resistor, Thick Film Technology, TO-220

50 W Power Resistor, Thick Film Technology, TO-220 50 W Power Resistor, Thick Film Technology, TO-220 DESIGN SUPPORT TOOLS click logo to get started FEATURES 50 W at 25 C heatsink mounted Adjusted by sand trimming Leaded or surface mount versions High

More information

BUK A. N-channel TrenchMOS standard level FET

BUK A. N-channel TrenchMOS standard level FET Rev. 2 31 July 29 Product data sheet 1. Product profile 1.1 General description Standard level N-channel enhancement mode Field-Effect Transistor (FET) in a plastic package using TrenchMOS technology.

More information

60 V, 0.3 A N-channel Trench MOSFET

60 V, 0.3 A N-channel Trench MOSFET Rev. 01 11 September 2009 Product data sheet 1. Product profile 1.1 General description ESD protected N-channel enhancement mode Field-Effect Transistor (FET) in a small SOT2 (TO-26AB) Surface-Mounted

More information

SAMPLE PAGES. Hazard Communication Program. [Company name]

SAMPLE PAGES. Hazard Communication Program. [Company name] The safety and health of our employees are our top priority. Everyone goes home safe and healthy everyday. Hazard Communication Program [Company name] [Date Authorized] [Version} Page 0 Table of Contents

More information

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION

ic-wg BLCC WGC OPTO ENCODER PACKAGE SPECIFICATION Rev E2, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC Glass Lid ic-wg BLCC WGC-WG1L ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR

More information

Small Absolute Pressure Sensor

Small Absolute Pressure Sensor Small Absolute Pressure Sensor SM5420E Series FEATURES Improved stability with integrated field shields Small SO8 surface-mount package 95 millivolt span Constant current or constant voltage drive or non-ported

More information

Small, Gauge Pressure Sensor

Small, Gauge Pressure Sensor Small, Gauge Pressure Sensor SM5G-GG Series FEATURES Improved stability with integrated field shields Small SO8 surface-mount package 90 millivolt output Constant current or constant voltage drive Ported

More information

OEM Silicon Pressure Die

OEM Silicon Pressure Die OEM Silicon Pressure Die SM9520 Series FEATURES High volume, cost effective Gauge configuration Constant current or constant voltage drive Millivolt output Available in 0.15, 0.60 & 1.50 PSIG full-scale

More information

SPECIFICATION WHITE SIDE VIEW LED. Customer. Approved by Approved by Approved by / / / Supplier. Drawn by Checked by Approved by / / /

SPECIFICATION WHITE SIDE VIEW LED. Customer. Approved by Approved by Approved by / / / Supplier. Drawn by Checked by Approved by / / / SPECIFICATION ITEM MODEL WHITE SIDE VIEW LED CUSTOMER Customer Approved by Approved by Approved by / / / Supplier Drawn by Checked by Approved by / / / Contents 1. Features 2. Applications 3. Absolute

More information

Fact sheet on Intermediates under REACH

Fact sheet on Intermediates under REACH Fact sheet on Intermediates under REACH April 2008 1. Introduction The REACh Regulation recognises intermediates as a distinct subset of substances that may either be able to benefit from a reduced registration

More information

ITRS, SEMI and ASTM Guidelines for Semiconductor Ultrapure Water (UPW) Production and the Consequences for UPW Particle Metrology

ITRS, SEMI and ASTM Guidelines for Semiconductor Ultrapure Water (UPW) Production and the Consequences for UPW Particle Metrology ITRS, SEMI and ASTM Guidelines for Semiconductor Ultrapure Water (UPW) Production and the Consequences for UPW Particle Metrology David Blackford, Ph.D. Fluid Measurement Technologies, Inc. St. Paul, Minnesota

More information

Cree XLamp XB-H LEDs. Table of Contents. CLD-DS90 Rev 1J. Product family data sheet

Cree XLamp XB-H LEDs. Table of Contents. CLD-DS90 Rev 1J. Product family data sheet Product family data sheet CLD-DS90 Rev 1J Cree XLamp XB-H LEDs Product Description The XLamp XB-H LED delivers a breakthrough combination of lumen output and efficacy in a small package. Delivering more

More information

DATASHEET ISL70024SEH, ISL73024SEH. Features. Applications. Related Literature. 200V, 7.5A Enhancement Mode GaN Power Transistor

DATASHEET ISL70024SEH, ISL73024SEH. Features. Applications. Related Literature. 200V, 7.5A Enhancement Mode GaN Power Transistor DATASHEET 2V, 7.5A Enhancement Mode GaN Power Transistor FN8976 Rev.4. The ISL724SEH and ISL7324SEH are 2V N-channel enhancement mode GaN power transistors. These GaN FETs have been characterized for destructive

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Solid Tantalum SMD Capacitors TANTAMOUNT, Hi-Rel COTS, Low ESR, Metal Case

Solid Tantalum SMD Capacitors TANTAMOUNT, Hi-Rel COTS, Low ESR, Metal Case Solid Tantalum SMD Capacitors TANTAMOUNT, Hi-Rel COTS, Low ESR, Metal Case PERFORMANCE CHARACTERISTICS Operating Temperature: -55 C to +125 C (above 85 C, voltage derating is required) Capacitance Range:

More information

XC7SET General description. 2. Features. 3. Applications. Ordering information. Inverting Schmitt trigger

XC7SET General description. 2. Features. 3. Applications. Ordering information. Inverting Schmitt trigger Rev. 01 31 ugust 2009 Product data sheet 1. General description 2. Features 3. pplications is a high-speed Si-gate CMOS device. It provides an inverting buffer function with Schmitt trigger action. This

More information

ic-wg BLCC WGC PACKAGE SPECIFICATION

ic-wg BLCC WGC PACKAGE SPECIFICATION Rev B1, Page 1/5 ORDERING INFORMATION Type Package Options Order Designation ic-wg BLCC WGC none ic-wg BLCC WGC ic-wg BLCC WGC reticle ic-wg BLCC WGC-WG1R WG1R Code Disc 13bit-Gray +2048 PPR A/B, d 44mm

More information

5mm Silicon PIN Photodiode, T-1 3/4 PD333-3B/H0/L2

5mm Silicon PIN Photodiode, T-1 3/4 PD333-3B/H0/L2 Features Fast response time High photo sensitivity Small junction capacitance Pb free This product itself will remain within RoHS compliant version. Description is a high speed and high sensitive PIN photodiode

More information

WYANDOTTE MUNICIPAL SERVICES COMMUNITY WIND ENERGY PROJECT WIND RESOUCE SUMMARY

WYANDOTTE MUNICIPAL SERVICES COMMUNITY WIND ENERGY PROJECT WIND RESOUCE SUMMARY WYANDOTTE MUNICIPAL SERVICES COMMUNITY WIND ENERGY PROJECT WIND RESOUCE SUMMARY MONTHLY REPORT October 15, 2007 Black & Veatch Project: 144374 Prepared by: Black & Veatch Corporation 6300 S. Syracuse Way

More information

EAHP1215WA2. Features. Description. Applications

EAHP1215WA2. Features. Description. Applications Features LM-80 Certified High Power COB & High CRI LED Multi-Chip Solution Dimension:12 mm x 15 mm x 1.6 mm Main Parameters: Luminous Flux, Forward Voltage, Chromaticity and Color Rendering Index RoHS

More information

74HC1GU04GV. 1. General description. 2. Features. 3. Ordering information. Marking. 5. Functional diagram. Inverter

74HC1GU04GV. 1. General description. 2. Features. 3. Ordering information. Marking. 5. Functional diagram. Inverter Rev. 5 1 July 27 Product data sheet 1. General description 2. Features 3. Ordering information The is a high-speed Si-gate CMOS device. It provides an inverting single stage function. The standard output

More information

Power Resistor for Mounting onto a Heatsink Thick Film Technology

Power Resistor for Mounting onto a Heatsink Thick Film Technology DIMENSIONS in millimeters Power Resistor for Mounting onto a Heatsink Thick Film Technology FEATURES 300 W at 85 C bottom case temperature Wide resistance range: 0.3 Ω to 900 kω E24 series Non inductive

More information

Low Pressure Sensor Amplified Analog Output SM6295-BCM-S

Low Pressure Sensor Amplified Analog Output SM6295-BCM-S Low Pressure Sensor Amplified Analog Output SM6295-BCM-S-040-000 FEATURES Pressure range from 0 to 40 cmh 2 O 5.0 V operation Amplified analog output (10 to 90%Vdd) Compensated temperature range: 0 to

More information

Cree XLamp XR-C LEDs. CLD-DS10 Rev 12H. Product family data sheet

Cree XLamp XR-C LEDs. CLD-DS10 Rev 12H. Product family data sheet Cree XLamp XR-C LEDs Product family data sheet CLD-DS1 Rev 12H Product Description The XLamp XR-C LED gives lighting designers the flexibility and performance to create the next generation of LED lighting

More information

N-channel TrenchMOS standard level FET. High noise immunity due to high gate threshold voltage

N-channel TrenchMOS standard level FET. High noise immunity due to high gate threshold voltage Rev. 2 12 March 29 Product data sheet 1. Product profile 1.1 General description Standard level N-channel enhancement mode Field-Effect Transistor (FET) in a plastic package using TrenchMOS technology.

More information

DATA SHEET. PMEM4010ND NPN transistor/schottky diode module DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Oct 28.

DATA SHEET. PMEM4010ND NPN transistor/schottky diode module DISCRETE SEMICONDUCTORS. Product data sheet Supersedes data of 2002 Oct 28. DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D302 NPN transistor/schottky diode module Supersedes data of 2002 Oct 28 2003 Jul 04 FEATURES 600 mw total power dissipation High current capability

More information

Dual rugged ultrafast rectifier diode, 20 A, 150 V. Ultrafast dual epitaxial rectifier diode in a SOT78 (TO-220AB) plastic package.

Dual rugged ultrafast rectifier diode, 20 A, 150 V. Ultrafast dual epitaxial rectifier diode in a SOT78 (TO-220AB) plastic package. Rev. 04 2 March 2009 Product data sheet 1. Product profile 1.1 General description Ultrafast dual epitaxial rectifier diode in a SOT78 (TO-220AB) plastic package. 1.2 Features and benefits High reverse

More information

PSMN4R5-40PS. N-channel 40 V 4.6 mω standard level MOSFET. High efficiency due to low switching and conduction losses

PSMN4R5-40PS. N-channel 40 V 4.6 mω standard level MOSFET. High efficiency due to low switching and conduction losses Rev. 2 25 June 29 Product data sheet 1. Product profile 1.1 General description Standard level N-channel MOSFET in TO22 package qualified to 175 C. This product is designed and qualified for use in a wide

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information