RECENTLY, as the use of metal/high-k dielectric has

Size: px
Start display at page:

Download "RECENTLY, as the use of metal/high-k dielectric has"

Transcription

1 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER Intrinsic Time Zero Dielectric Breakdown Characteristics of HfAlO Alloys Jin Ju Kim, Minwoo Kim, Ukjin Jung, Kyung Eun Chang, Sangkyung Lee, Yonghun Kim, Young Gon Lee, Rino Choi, Senior Member, IEEE, and Byoung Hun Lee, Senior Member, IEEE Abstract A thermochemical model describing the relationship between the dielectric breakdown field (E BD ) and dielectric constant(k) of high-k dielectric has been calibrated for Hf x Al 1 x O y alloys with k values from 7 to 24. Metal-insulatormetal (MIM) capacitors with Hf x Al 1 x O y high-k dielectric films were used to extract the intrinsic time zero dielectric breakdown characteristics. Breakdown field values of these Hf x Al 1 x O y alloys were found to decrease as a function of k 0.77 while the electric field acceleration parameter, γ, increases as a function of k Using the thermochemical model calibrated with the experimental data, a Hf x Al 1 x O y 10-year lifetime was extrapolated as a function of the dielectric constant to provide insight for future dielectric development. Index Terms Al 2 O 3, breakdown field, dielectric constant, HfO 2, lifetime, MIM capacitor, thermochemical model, time-dependent dielectric breakdown (TDDB). I. INTRODUCTION RECENTLY, as the use of metal/high-k dielectric has become more prevalent in state-of-the-art semiconductor devices, the reliability characteristics of high-k dielectrics have attracted attention [1] [5]. Even much research has addressed the reliability of specific material systems or devices using high-k dielectrics, the study of the intrinsic reliability characteristics of high-k dielectrics has been limited because their material composition and integration processes are so diverse, depending on specific applications. Compared with the decades-long debates on the intrinsic reliability mechanisms of SiO 2 -based gate dielectric, it is evident that the intrinsic reliability characteristics of high-k dielectric have not been sufficiently explored [6] [9]. Studying the intrinsic reliability characteristics of high-k dielectric is difficult, however, because high-k dielectric is Manuscript received May 9, 2013; revised September 6, 2013; accepted September 9, Date of publication September 30, 2013; date of current version October 18, This work was supported in part by SAMSUNG System LSI, and in part by the Industrial Strategic Technology Development Program under Grant funded by MOTIE and KEIT, Korea. The review of this paper was arranged by Editor M. J. Kumar. J. J. Kim is with the Department of Nanobio Materials and Electronics, Gwangju Institute of Science and Technology, Gwangju , Korea ( starlet1201@gist.ac.kr). M. Kim, U. Jung, K. E. Chang, S. Lee, Y. Kim, Y. G. Lee, and B. H. Lee are are with the School of Material Science and Engineering, Gwangju Institute of Science and Technology, Gwangju , Korea ( kmw@gist.ac.kr; ukjin@gist.ac.kr; eun@gist.ac.kr; leesk@gist.ac.kr; kyhun09@gist.ac.kr; nicehack@gist.ac.kr; bhl@gist.ac.kr). R. Choi is with the Department of Material Science and Engineering, Inha University, Incheon , Korea ( rino.choi@inha.ac.kr). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TED IEEE usually stacked on a SiO x -based interfacial layer, which grows naturally during the gate stack process. As a result, interface-driven reliability characteristics such as negative bias temperature instability (NBTI) are found to depend on the quality of the interfacial SiO x layer [10] [14]. Furthermore, much previous work on the reliability of high-k dielectrics has focused on the reliability characteristics of the high-k dielectric/interfacial layer stack without an explicit understanding of the intrinsic reliability characteristics of the high-k dielectric itself [15] [17]. However, as the scaling of high-k dielectric goes into the sub-1 nm equivalent oxide thickness (EOT) regime, the thickness of the interfacial layer must be further scaled and reliability characteristics become dominated by the high-k dielectric rather than the interfacial layer. Thus, a systematic understanding of the intrinsic reliability of the high-k dielectric layer becomes more important. In particular, an accurate breakdown model of the high-k dielectric is necessary to develop a lifetime prediction model for high-k dielectric/sio x interfacial layer stacks. With SiO 2 -based gate dielectric, various time-dependent dielectric breakdown (TDDB) models have been suggested, including an E-model (based on thermochemical models), 1/E model, power law model, and combined E and 1/E model [18] [21]. The controversy over whether the E model was preferable to the 1/E model ended with the introduction of a power law model as the thickness of SiO 2 -based dielectric decreased to the 1 nm scale. On the other hand, there is not yet consensus on a lifetime extrapolation model for high-k dielectric. Most current research on the lifetime projection of high-k dielectrics relies on the E-model or the power-law model, which matches reasonably well with experimental data [2], [22], [23]. Wu et al. [24] reported that the anode hydrogen release (AHR) model can be applied to high-k dielectric/sio x bilayer stacks and the power law model fits well for lifetime extrapolation. On other hand, Pae et al. reported that the E-model fits their experimental data for a high-k/sio x gate stack implemented in 32 nm and 45 nm technology [2]. In other instances, the E model was used in the low field region and 1/E model was used in the high field region for 45 nm high-k gate process technology [25]. While the above work is founded on the lifetime projection models developed for SiO 2 -based gate dielectric, there are a few attempts to consider the unique material properties of high-k dielectrics in the reliability research. McPherson et al. [27] claimed that the high-k dielectric itself may follow

2 3684 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER 2013 a field-driven breakdown model, i.e., the thermochemical model, as the electronic bonding of the high-k dielectric is weak and more polarized than SiO 2 [26], [27]. Lee et al. [26] suggested that field-driven breakdown dominates the reliability of the high-k dielectric. The thermochemical model was discarded because it could not explain the TDDB characteristics of extremely thin SiO 2 -based dielectric [28], but this model may work for high-k dielectric because the metal-oxygen bonds are much weaker than Si-O bonds [29]. According to the thermochemical model, the breakdown field, E BD, should decrease approximately at k 0.64 for high-k materials while the field acceleration parameter, γ, should increase with k n [27], [30]. This means that the time zero dielectric breakdown (TZDB) characteristics improve with a lower-k dielectric, but the lifetime projection at low field improves more rapidly with a higher-k dielectric. The validity of this model was verified using the breakdown field data for high-k dielectrics with k values ranging from 3.9 to 300 [29]. Since the thermochemical model has provided a reasonable prediction of the breakdown field of high-k dielectrics, it is worth reexamining its accuracy with medium-k gate dielectrics with k ranging from 7 to 24, which is frequently used in practical applications. In this paper, the intrinsic reliability characteristics of Hf x Al 1 x O y dielectric were investigated to extract parameters to calibrate the thermochemical model. The 10-year lifetime of Hf x Al 1 x O y dielectric was then extrapolated using the calibrated thermochemical breakdown model. II. EXPERIMENT Metal-insulator-metal (MIM) capacitors with a Hf x Al 1 x O y dielectric layer were fabricated using Pt bottom electrodes. The ternary composite films composed of different ratios of HfO 2 and Al 2 O 3 were formed by controlling the ratios of the RF magnetron sputtering power (power density = W/cm 2 ) for a 4 in target. Post-deposition annealing (PDA) was performed in pure O 2 ambient for 5 minutes at 400 C to control the oxygen stoichiometry. Then, the top Pt electrode was deposited with a shadow mask using RF magnetron sputtering at room temperature, followed by N 2 gas annealing at 400 C for 30 min. The area of device was in a range of 5E-5 cm 2 to1e-4 cm 2. Table I summarizes the physical and electrical properties of the samples used in this paper. The capacitance-voltage (C V ) characteristics of the MIM capacitors were characterized using a precision impedance analyzer at frequencies from 100 khz to 1 MHz. For MIM capacitor, EOT is simply calculated from the capacitance measured at 0 V, 1 MHz using EOT = k SiO2 A/capacitance. The physical thicknesses of Hf x Al 1 x O y in the MIM capacitors were measured using a transmission electron microscope (TEM) as shown in Fig. 1. In this paper, thick Hf x Al 1 x O y films (T phys = 8 23 nm) were used to obtain the intrinsic reliability characteristics with minimal interference from excessive leakage current. The dielectric constant was calculated using the capacitance at 0 V and the physical thickness (T phys ). Finally, the concentration of aluminum in each film was analyzed using an energy dispersive X-ray (EDX) method. TABLE I CHARACTERISTICS OF DIELECTRICS USED IN THIS PAPER Fig. 1. TEM images of MIM capacitors with Hf x Al 1 x O y dielectrics. Physical thicknesses measured with TEM are listed in Table I. Leakage current and TZDB characteristics were measured using a parameter analyzer at room temperature. The voltage ramp rate was fixed at 0.15 s for 10 mv steps (67 mv per second) during the time zero breakdown test. Breakdown voltage (V BD ) was defined as the stress bias at which the leakage current abruptly increased by more than an order of magnitude. The breakdown field, E BD,was calculated using V BD /T phys (MV/cm). For each split shown in Table I, more than 20 devices were tested and the results averaged. III. RESULTS AND DISCUSSION The MIM capacitors with Hf x Al 1 x O y dielectrics showed well behaved current-voltage (I V ) curves [Fig. 2(a)]. The leakage current injected from the top electrode side (negative bias region) appears to be slightly lower than the other polarity, but J g curves are reasonably symmetric. Since the physical thickness measured by TEM varied from 8 nm to 23 nm for different compositions, the level of the leakage current cannot be directly compared using J g curves. For more meaningful comparison to illustrate the robustness of the dielectrics, the leakage current density was plotted as a function of EOT at +1 V with the data reported in the literature (Fig. 2(b)) [31] [34]. The leakage current density of Hf x Al 1 x O y

3 KIM et al.: INTRINSIC TIME ZERO DIELECTRIC BREAKDOWN CHARACTERISTICS OF HfAlO ALLOYS 3685 Fig. 4. Weibull distributions of E BD for high-k dielectric with k = 14.5 normalized for different areas nicely overlap each other, demonstrating a uniform degradation. Inset: graph showing the area dependence of the breakdown field at 63%. Fig. 2. (a) Typical J g V g curves for each dielectric split shown in Table I. (b) Leakage current density measured at +1 V for different dielectric thicknesses. Reference MIM devices used a TiN electrode while a Pt electrode wasusedinthispaper. Fig. 5. Breakdown field versus dielectric constant. Filled squares are experimental data for Hf x Al 1 x O y. Empty circles represent the E BD calculated using the thermochemical model. Filled triangles are experimental data reported by McPherson et al. [29]. Fig. 3. (a) Typical J g curves showing the TZDB characteristics at room temperature. (b) Weibull distribution of the breakdown field of high-k dielectric with k ranging from The slope, β, of the Weibull curves varies within dielectrics is comparable or better than other MIM dielectrics, indicating that the PDA and final N 2 anneal at 400 C work well to densify the MIM dielectric. These dielectrics therefore qualified for the reliability assessment. E BD values were extracted from the I V curves shown in Fig. 3(a). Since the x-axis of Fig. 3(a) is the electric field, the representative J g curves show that the breakdown field is inversely proportional to the dielectric constant. For quantitative comparison, the E BD values measured in high-k dielectrics with different dielectric constants are summarized using the Weibull plot shown in Fig. 3(b). Most of the Hf x Al 1 x O y splits exhibited a steep single slope with a tight distribution, indicating the breakdown events were driven by a single breakdown mechanism. The robustness of the dielectric used in this paper was confirmed again by measuring the E BD of devices with different areas. Fig. 4 shows that the area-normalized Weibull distributions of E BD for Hf x Al 1 x O y with k = 14.5 overlap each other, demonstrating a uniform degradation mechanism, i.e., a uniform distribution of weak spots [35], [36]. The inset of Fig. 4 shows that the intrinsic E BD value taken at the 63% accumulative values of the Weill distribution decreases as the area increased from to cm 2. As the area increases, the number of weak spots also increases and device failure occurs at a lower field. Therefore, in this paper, the smallest device area of cm 2 was used to obtain more intrinsic breakdown characteristics. Intrinsic E BD values taken at 63% accumulative values of the Weibull plot showed a well behaved trend curve as a function of the dielectric constant as seen in Fig. 5,

4 3686 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER 2013 which contains more data points than Table I because the thickness split for each composition yielded slight variations in k and E BD. As the Al concentration increases (i.e., as the k value decreases), the E BD also increases. The E BD of Al 2 O 3 was 7.3 MV/cm, which is much lower than the E BD of bulk Al 2 O 3 predicted by the thermochemical model (tetrahedral/tetragonal: 11.2 MV/cm, trigonal: 13.8 MV/cm), but matches the E BD values reported in the literature [37]. On the other hand, the E BD of HfO 2 was similar to the bulk E BD values (tetrahedral/tetragonal: 3.3 MV/cm, cubic: 4 MV/cm). The dielectric constant of Al 2 O 3 around 7 indicates that the Al 2 O 3 used in this paper is partially amorphous because the dielectric constant of crystalline Al 2 O 3 is 9. On the other hand, the HfO 2 seems to be well crystalized. This observation matches reports on the crystallinity of sputterdeposited Al 2 O 3 and HfO 2. In the thermochemical model, E BD values can be calculated by the following equation [29]: E BD (k) = H 0 ( P 2+k n ) k 0.77 (1) 0 3 where H 0 * is the activation energy, P 0 is the active molecular dipole moment, k is the dielectric constant, and n is a fitting parameter to obtain a generalized k-dependence. H 0 * can be calculated for a specific high-k dielectric, but it is difficult to obtain exact values for alloyed high-k dielectric such as Hf x Al 1 x O y. In this paper, H 0 * was calculated from (1) using the experimental E BD values listed in Table I. The P 0 values of Hf x Al 1 x O y were interpolated from those of Al 2 O 3 and HfO 2. Underlying assumption of this interpolation is that E BD values of alloyed high-k dielectric are determined by the composition as shown in Fig. 5. The E BD values of Hf x Al 1 x O y shown in Fig. 5 decreased as a function of k 0.77, which is similar to previously reported values (k 0.65 ) [29]. The E BD (k) of Hf x Al 1 x O y was slightly lower than the theoretical prediction using the thermochemical model because the dielectric constant of Al 2 O 3 used in this paper is slightly lower than the theoretical value of tetrahedral Al 2 O 3. Since the thermochemical E BD model representing the general trend was developed for an extremely wide range of k values (k = ) with scattered data shown as empty circles in Fig. 5 and only a few data points in the low-k to medium-k region, a slight difference in the E BD in the medium-k region is expected. The calibrated E BD (k) function matches well with experimental data and can be used to represent the intrinsic reliability of specific material systems such as Hf x Al 1 x O y. The excellent predictability of the calibrated E BD (k) for Hf x Al 1 x O y indicates that the lifetime of high-k dielectric can be predicted using the thermochemical model because the field-induced bond breaking mechanism may lead to a lifetime extrapolation model different from that of SiO 2 -based gate dielectrics. Thus, we attempted to derive the TDDB characteristics using the TZDB characteristics, i.e., the E BD (k) function, using the Berman model. According to the Berman model, the breakdown field, distributions can be translated into time-to-failure (TF) distributions [38] ( TF = t 0 exp γ E+ H ) 0 = t 0 exp [ γ (E BD E OX ) ]. (2) k B T Here, t 0 is an effective time at field = 1/(γ ramp rate), k B is the Boltzmann s constant, T is the temperature, ΔH 0 * is the activation energy, E ox is the applied field to the capacitor, and E BD is the breakdown field listed in Table I. For a SiO 2 capacitor, 0.1 sec is used for t 0 [29]. Since t 0 is determined by the acceleration factor (γ) and the ramp rate (R), it is dependent on the dielectric constant at a constant ramp rate. As a result, as shown in (2), E BD is affected by the ramp rate. In this paper, t 0 values listed in Table I were extracted using a ramp rate of (V/cm sec) for each acceleration factor. For Hf x Al 1 x O y lifetime predictions, several assumptions were applied to the TDDB model. First, the structure of Hf x Al 1 x O y was assumed to be tetrahedral/tetragonal to set a reference point using the P 0 value of Al 2 O 3 (tetrahedral/ tetragonal: 2.9 e-å, trigonal: 7 e-å) and of HfO 2 (tetrahedral/ tetragonal: 4.4 e-å, cubic: 10.2 e-å). This assumption is reasonable for crystalline HfO 2.ForAl 2 O 3, the theoretical E BD calculated using the P 0 value for crystalline Al 2 O 3 was higher than the experimental E BD. This means that the experimental P 0 should be slightly larger than the theoretical P 0 to yield lower E BD. This error will yield a slightly underestimated γ(k) for Hf x Al 1 x O y because γ, a field acceleration parameter, is calculated using a molecular model as shown below [27], [30], [33], [38] ( ) P 2+k n 0 3 γ = k (3) k B T The γ values of Hf x Al 1 x O y at 300 K are calculated using the interpolated P 0 and k n values calibrated for Hf x Al 1 x O y. Resulting γ values show an k 1.37 dependence. The high field acceleration parameter for higher-k dielectrics means that the lifetime of the high-k dielectric increases rapidly under low field stress conditions. To illustrate the relationship between E BD and lifetime, TF values were calculated as a function of an operation field, E ox,usinge BD (k) and γ(k) calibrated for Hf x Al 1 x O y and (2) as shown in Fig. 6(a). The maximum operation field allowing for 10-year operation is nearly independent of dielectric constant even though the E BD degrades as k increases due to the weak metal-oxygen bond. Fig. 6(b) shows the maximum E ox values to achieve a 10-year lifetime plotted as a function of k. E ox,max is found to be 2MV/cm for 7 < k < 24 and very weakly dependent on dielectric thickness because the operation field, E ox,isdefined as the gate voltage divided by the dielectric physical thickness (E ox = V g /T phy ).SinceE BD decreases at higher k because of more polarized bonding, the time to failure decreases rapidly for higher k as the electric field increases, i.e. more steep slope in Fig. 6(a). In other words, higher-k dielectrics less likely breakdown at a low field stress condition because the bonds can be easily stretched more instead of breaking down. Thus, the maximum breakdown field values to achieve 10 year lifetime become similar for all alloyed dielectrics as

5 KIM et al.: INTRINSIC TIME ZERO DIELECTRIC BREAKDOWN CHARACTERISTICS OF HfAlO ALLOYS 3687 Fig. 6. (a) Calculated TDDB characteristics of Hf x Al 1 x O y are plotted as a function of electric field with difference dielectric constants (k = 7 24). The TF data were extracted using E BD and γ from Table I. (b) 10-year operation field extracted from the TDDB model. Operation field E ox is defined as V g /T phy. a result of trade-off between γ and E BD.Ask increases, γ increases rapidly with k 1.37 dependence while E BD decreases with k 0.77 dependence. When the k value is low, E BD is high, but the low γ value dramatically degrades device lifetime. On the other hand, when the k value is high, the high γ values rapidly improve lifetime while E BD slowly decreases. Even though this result is based on an assumption that the breakdown of the high-k dielectric is driven by field-dependent bond breakage, the implication of this calculation is quite significant. The maximum electric field that can be applied to a given high-k dielectric with a 10-year lifetime constraint is not substantially affected by the dielectric constant of the high-k dielectric, especially in the k range examined in this paper (k = 7 24). The implication of this conclusion is more cogent if a similar calculation is performed at a fixed equivalent oxide thickness (EOT) for a specific technology node. For example, Fig. 7(a) shows a hypothetical maximum operation voltage for 10-year operation as predicted by the thermochemical model calibrated for Hf x Al 1 x O y alloys in a MIM structure at an EOT fixed at 1 nm. The predicted 10-year operation voltage gradually increases as the dielectric constant increases. This means the higher dielectric constant is beneficial for reliability. However, the dielectric leakage current increases as the dielectric constant increases because the bandgap of the dielectric decreases even though the physical thickness of the high-k dielectric increases for the fixed EOT. Fig. 7(b) shows an Fig. 7. (a) 10-year operation voltage extracted from Fig. 6(b), TDDB model, when the EOT of devices was fixed at 1 nm for devices with various dielectric constants. (b) Leakage current curve of Hf x Al 1 x O y dielectric with a similar EOT, but different k values (k = 7 and 10). example of the correlation between the k-value and leakage current. Even though the EOTs of both films are similar, nm, the leakage current is higher in the MIM capacitor with a higher-k dielectric. In summary, the TDDB characteristics of Hf x Al 1 x O y can be derived using the E BD (k) function calibrated for Hf x Al 1 x O y. Higher-k material has a better reliability window in terms of device lifetime, but the lower bandgap for higher-k dielectric causes a trade-off between reliability and the higher leakage current. In the future, the modified thermochemical model and its TDDB translation should be confirmed by extensive experimental data. Nevertheless, this theoretical projection provides guidance for future high-k dielectric development considering reliability. This approach can be used as a stepping stone to develop a general reliability model for high-k dielectrics with an interfacial layer. IV. CONCLUSION The intrinsic TZDB characteristics of Hf x Al 1 x O y have been investigated using a MIM structure, which can eliminate the influence of the interfacial layer. The E BD of Hf x Al 1 x O y decreases as a function of (k) 0.77 while γ increases with (k) Using a E BD (k) function developed for a specific high-k dielectric system, a TDDB model can be developed. Even though this TDDB model should be confirmed with extensive experimental data, this approach will provide a feasible path to develop a general reliability model for high-k dielectrics with proper consideration of the reliability of the interfacial layer.

6 3688 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER 2013 REFERENCES [1] B. H. Lee, R. Choi, J. H. Sim, S. A. Krishnan, J. J. Peterson, G. A. Brown, and G. Bersuker, Validity of constant voltage stress based reliability assessment of high-k devices, IEEE Trans. Device Mater. Rel., vol. 5, no. 1, pp , Mar [2] S. Pae, A. Ashok, J. Choi, T. Ghani, J. He, S. H. Lee, K. Lemay, M. Liu, R. Lu, P. Packan, C. Parker, R. Purser, A. S. Amour, and B. Woolery, Reliability characterization of 32 nm high-k and metalgate logic transistor technology, in Proc. IEEE IRPS, May 2010, pp [3] B. H. Lee, S. C. Song, R. Choi, and P. Kirsch, Metal electrode/high-k dielectric gate-stack technology for power management, IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 8 20, Jan [4] S. Pae, M. Agostinelli, M. Brazier, R. Chau, G. Dewey, T. Ghani, M. Hattendorf, J. Hicks, J. Kavalieros, K. Kuhn, M. Kuhn, J. Maiz, M. Metz, K. Mistry, C. Prasad, S. Ramey, A. Roskowski, J. Sandford, C. Thomas, J. Thomas, C. Wiegand, and J. Wiedemer, BTI reliability of 45 nm high-k + metal-gate process technology, in Proc. IEEE IRPS, May 2008, pp [5] E. Cartier, A. Kerber, T. Ando, M. M. Frank, K. Choi, S. Krishnan, B. Linder, K. Zhao, F. Monsieur, J. Stathis, and V. Narayanan, Fundamental aspects of HfO 2 -based high-k metal gate stack reliability and implications on tinv-scaling, in Proc. IEEE IEDM, Dec. 2011, pp [6] B. H. Lee, C. Kang, R. Choi, H. D. Lee, and G. Bersuker, Stress field analysis to understand the breakdown characteristics of stacked high-k dielectrics, Appl. Phys. Lett., vol. 94, no. 16, pp , Apr [7] T. Yamaguchi, I. Hirano, R. Iijima, K. Sekine, M. Takayanagi, K. Eguchi, Y. Mitani, and N. Fukushima, Thermochemical understanding of dielectric breakdown in HfSiON with current acceleration, in Proc. IEEE IRPS, Apr. 2005, pp [8] K. Torii, K. Shiraishi, S. Miyazaki, K. Yamabe, M. Boero, T. Chikyow, K. Yamada, H. Kitajima, and T. Arikado, Physical model of BTI, TDDB and SILC in HfO 2 -based high-k gate dielectrics, in Proc. IEEE IEDM, Dec. 2004, pp [9] A. Kerber, A. Vayshenker, D. Lipp, T. Nigam, and E. Cartier, Impact of charge trapping on the voltage acceleration of TDDB in metal gate/high-k n-channel MOSFETs, in Proc. IEEE IRPS, May 2010, pp [10] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, Review on high-k dielectrics reliability issues, IEEE Trans. Device Mater. Rel., vol. 5, no. 1, pp. 5 19, Mar [11] D. K. Schroder and J. A. Babcock, Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing, J. Appl. Phys., vol. 94, no. 1, pp. 1 18, Jul [12] W. Wang, V. Reddy, A. T. Krishnan, R. Vattikonda, S. Krishnan, and Y. Cao, Compact modeling and simulation of circuit reliability for 65-nm CMOS technology, IEEE Trans. Device Mater. Rel., vol. 7, no. 4, pp , Dec [13] A. Neugroschel, G. Bersuker, R. Choi, C. Cochrane, P. Lenahan, D. Heh, C. Young, C. Y. Kang, B. H. Lee, and R. Jammy, An accurate lifetime analysis methodology incorporating governing NBTI mechanisms in high-k/sio 2 gate stacks, in Proc. IEDM, Dec. 2006, pp [14] M. Cho, M. Aoulaiche, R. Degraeve, B. Kaczer, J. Franco, T. Kauerauf, P. Roussel, L. Å. Ragnarsson, J. Tseng, T. Y. Hoffmann, and G. Groeseneken, Positive and negative bias temperature instability on sub-nanometer EOT high-k MOSFETs, in Proc. IEEE IRPS, May 2010, pp [15] T. Nigam, A. Kerber, and P. Peumans, Accurate model for timedependent dielectric breakdown of high-k metal gate stacks, in Proc. IEEE IRPS, Apr. 2009, pp [16] D. Y. Choi, K. T. Lee, C. K. Baek, C. W. Sohn, H. C. Sagong, E. Y. Jung, J. S. Lee, and Y. H. Jeong, Interfacial-layer-driven dielectric degradation and breakdown of HfSiON/SiON gate dielectric nmosfets, IEEE Electron Device Lett., vol. 32, no. 10, pp , Oct [17] M. Jo, C. Y. Kang, J. Huang, G. Bersuker, C. Young, P. Kirsch, and R. Jammy, Improved high-k/metal gate lifetime via improved SILC understanding and mitigation, in Proc. IEEE IEDM, Dec. 2011, pp [18] J. McPherson, V. Reddy, K. Banerjee, and H. Le, Comparison of E and 1/E TDDB models for SiO 2 under long-term/low-field test conditions, in Proc. IEEE IEDM, Dec. 1998, pp [19] E. Rosenbaum, J. C. King, and C. Hu, Accelerated testing of SiO 2 reliability, IEEE Trans. Electron Devices, vol. 43, no. 1, pp , Jan [20] E. Y. Wu, A. Vayshenker, E. Nowak, J. Suñé, R. P. Vollertsen, W. Lai, and D. Harmon, Experimental evidence of TBD powerlaw for voltage dependence of oxide breakdown in ultrathin gate oxides, IEEE Trans. Electron Devices, vol. 49, no. 12, pp , Dec [21] C. Hu and Q. Lu, United gate oxide reliability model, in Proc. IEEE IRPS, May 1999, pp [22] T. Kauerauf, R. Degraeve, L. Å. Ragnarsson, P. Roussel, S. Sahhaf, G. Groeseneken, and R. O Connor, Methodologies for sub-1 nm EOT TDDB evaluation, in Proc. IEEE IRPS, Apr. 2011, pp. 2A.2.1 2A [23] K. T. Lee, H. Kim, and J. Park, Gate stack process optimization for TDDB improvement in 28 nm high-k/metal gate nmosfets, in Proc. IEEE IRPS, Apr. 2012, pp. GD.2.1 GD.2.4. [24] E. Wu, J. Suñé, C. Larow, and R. Dufresne, Temperature dependence of TDDB voltage acceleration in high-k/ SiO 2 bilayers and SiO 2 gate dielectrics, in Proc. IEEE IEDM, Dec pp [25] C. Prasad, M. Agostinelli, C. Auth, M. Brazier, R. Chau, G. Dewey, T. Ghani, M. Hattendorf, J. Hicks, J. Jopling, J. Kavalieros, R. Kotlyar, M. Kuhn, K. Kuhn, J. Maiz, B. McIntyre, M. Metz, K. Mistry, S. Pae, W. Rachmady, S. Ramey, A. Roskowski, J. Sandford, C. Thomas, C. Wiegand, and J. Wiedemer, Dielectric breakdown in a 45 nm high-k/metal gate process technology, in Proc. IEEE IRPS, May 2008, pp [26] B. H. Lee, C. Y. Kang, P. Kirsch, D. Heh, C. D. Young, H. B. Park, J. W. Yang, G. Bersuker, S. Krishnan, R. Choi, and H. D. Lee, Electric-field-driven dielectric breakdown of metalinsulator-metal hafnium silicate, Appl. Phys. Lett., vol. 91, no. 24, pp , Dec [27] J. W. McPherson, R. B. Khamankar, and A. Shanware, Complementary model for intrinsic time-dependent dielectric breakdown in SiO 2 dielectrics, J. Appl. Phys., vol. 88, no. 9, pp , Nov [28] E. Y. Wu and J. Suñé, Power-law voltage acceleration: A key element for ultra-thin gate oxide reliability, Microelectron. Rel., vol. 45, no. 12, pp , Dec [29] J. W. McPherson, J. Kim, A. Shanware, H. Mogul, and J. Rodriguez, Trends in the ultimate breakdown strength of high dielectric-constant materials, IEEE Trans. Electron Devices, vol. 50, no. 8, pp , Aug [30] J. W. McPherson and H. C. Mogul, Underlying physics of the thermochemical e model in describing low-field time-dependent dielectric breakdown in SiO 2 thin films, J. Appl. Phys., vol. 84, no. 3, pp , Aug [31] B. H. Lee, L. Kang, W.-J. Qi, R. Nieh, Y. Jeon, K. Onishi, and J. C. Lee, Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application, in Proc. IEDM, Dec. 1999, pp [32] K. Takeda, R. Yamada, T. Imai, T. Fujiwara, T. Hashimoto, and T. Ando, Characteristic instabilities in HfAlO metal insulator metal capacitors under constant-voltage stress, IEEE Trans. Electron Devices, vol. 55, no. 6, pp , Jun [33] S. B. Chen, C. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, High-density MIM capacitors using Al 2 O 3 and AlTiO x dielectrics, IEEE Electron Device Lett., vol. 23, no. 4, pp , Apr [34] S. J. Kim, B. J. Cho, M. F. Li, X. F. Yu, C. X. Zhu, A. Chin, and D. L. Kwong, PVD HfO 2 for high-precision MIM capacitor applications, IEEE Electron Device Lett., vol. 24, no. 6, pp , Jun [35] A. Kerber, L. Pantisano, A. Veloso, G. Groeseneken, and M. Kerber, Reliability screening of high-k dielectrics based on voltage ramp stress, Microelectron. Rel., vol. 47, nos. 4 5, pp , Apr [36] J. H. Stathis, Percolation models for gate oxide breakdown, J. Appl. Phys., vol. 86, no. 10, pp , Nov [37] J. Yota, H. Shen, and R. Ramanathan, Characterization of atomic layer deposition HfO 2,Al 2 O 3, and plasma-enhanced chemical vapor deposition Si 3 N 4 as metal insulator metal capacitor dielectric for GaAs HBT technology, J. Vac. Sci. Technol. A, vol. 31, no. 1, pp. 01A A134-9, Jan [38] A. Berman, Time-zero dielectric reliability test by a ramp method, in Proc. IEEE 19th Annu. IRPS, Apr. 1981, pp

7 KIM et al.: INTRINSIC TIME ZERO DIELECTRIC BREAKDOWN CHARACTERISTICS OF HfAlO ALLOYS 3689 Jin Ju Kim received the M.S. degree in materials science and engineering from the Gwangju Institute of Science and Technology, Gwangju, Korea, in 2010, where she is currently pursuing the Ph.D. degree in nanobiomaterials and electronics. Yonghun Kim received the M.S. degree in material science and engineering from Gwangju Institute of Science and Technology, Gwangju, Korea, in 2011, where he is currently pursuing the Ph.D. degree in material science and engineering. Minwoo Kim received the M.S. degree from the Department of Nanobio Material and Electronic, Gwangju Institute of Science and Technology, Gwangju, Korea, in 2013, where he is currently pursuing the Ph.D. degree in materials science and engineering. Young Gon Lee received the M.S. degree in material science engineering from the University of Seoul, Seoul, Korea, in He is currently pursuing the Ph.D. degree in material science and engineering, Gwangju Institute of Science and Technology, Gwangju, Korea. Ukjin Jung received the M.S. degree in material science and engineering from the Gwangju Institute of Science and Technology, Gwangju, Korea, in 2012, where she is currently pursuing the Ph.D. degree in material science and engineering. Kyung Eun Chang is currently pursuing the Integrated M.S. and Ph.D. degrees in material science and engineering with the Gwangju Institute of Science and Technology, Gwangju, Korea. Rino Choi (M 04 SM 05) received the Ph.D. degree in materials science and engineering from the University of Texas, Austin, TX, USA, in He has been with the School of Materials Science and Engineering, Inha University, Incheon, Korea, since Sangkyung Lee received the M.S. degree in nanobiomaterials and electronics from the Gwangju Institute of Science and Technology, Gwangju, Korea, in 2011, where he is currently pursuing the Ph.D. degree in materials science and engineering. Byoung Hun Lee (M 97 SM 05) received the Ph.D. degree in electrical and computer engineering from the University of Texas at Austin, Austin, TX, USA, in His current research interests include extreme lowpower device technology using novel graphenebased devices and silicon devices.

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

Transient Charging and Relaxation in High-k Gate Dielectrics and Their Implications

Transient Charging and Relaxation in High-k Gate Dielectrics and Their Implications Japanese Journal of Applied Physics Vol. 44, No. 4B, 25, pp. 2415 2419 #25 The Japan Society of Applied Physics Transient Charging and Relaxation in High-k Gate Dielectrics and Their Implications Byoung

More information

Dielectric Breakdown Characteristics of Stacked High-k Dielectrics. Byoung Hun Lee 1,2 and Rino Choi 3

Dielectric Breakdown Characteristics of Stacked High-k Dielectrics. Byoung Hun Lee 1,2 and Rino Choi 3 10.1149/1.3122097 The Electrochemical Society Dielectric Breakdown Characteristics of Stacked High-k Dielectrics Byoung Hun Lee 1,2 and Rino Choi 3 1 Department of Material Science and Engineering, 2 Department

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

White Paper. Temperature Dependence of Electrical Overstress By Craig Hillman, PhD

White Paper. Temperature Dependence of Electrical Overstress By Craig Hillman, PhD White Paper Temperature Dependence of Electrical Overstress By Craig Hillman, PhD 1. What is Electrical Overstress (EOS)? Electrical overstress is typically defined as an over voltage or over current event

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

3132 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 64, NO. 8, AUGUST 2017

3132 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 64, NO. 8, AUGUST 2017 3132 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 64, NO. 8, AUGUST 2017 Time-Dependent Dielectric Breakdown in High-Voltage GaN MIS-HEMTs: The Role of Temperature Shireen Warnock, Student Member, IEEE,

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

TRANSPARENT oxide thin-film transistors (TFTs) are of

TRANSPARENT oxide thin-film transistors (TFTs) are of 112 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 11, NO. 1, MARCH 2011 Analysis of Bias Stress Instability in Amorphous InGaZnO Thin-Film Transistors Edward Namkyu Cho, Student Member, IEEE,

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

TRANSITION metal and rare earth oxides are among the

TRANSITION metal and rare earth oxides are among the 138 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 7, NO. 1, MARCH 2007 Mechanism of Electron Trapping and Characteristics oftrapsinhfo 2 Gate Stacks Gennadi Bersuker, J. H. Sim, Chang Seo

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 98 21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN 21.1 Review/Background This class is an introduction to Time Dependent Dielectric Breakdown (TDDB). In the following 9 chapters, we will discuss

More information

Investigation of Dependence between Time-zero and Time-dependent Variability in High-κ NMOS Transistors

Investigation of Dependence between Time-zero and Time-dependent Variability in High-κ NMOS Transistors > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1 Investigation of Dependence between Time-zero and Time-dependent Variability in High-κ NMOS Transistors Mohammad

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case

A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case A Single-Trap Study of PBTI in SiON nmos Transistors: Similarities and Differences to the NBTI/pMOS Case Michael Waltl, Wolfgang Goes, Karina Rott, Hans Reisinger, and Tibor Grasser Institute for Microelectronics,

More information

NEGATIVE bias temperature instability (NBTI) or the

NEGATIVE bias temperature instability (NBTI) or the IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 1, MARCH 2008 47 Effect of the Interfacial SiO 2 Layer in High-k HfO 2 Gate Stacks on NBTI Arnost Neugroschel, Fellow, IEEE, Gennadi Bersuker,

More information

Stabilizing the forming process in unipolar resistance switching

Stabilizing the forming process in unipolar resistance switching Stabilizing the forming process in unipolar resistance switching using an improved compliance current limiter S. B. Lee, 1 S. H. Chang, 1 H. K. Yoo, 1 and B. S. Kang 2,a) 1 ReCFI, Department of Physics

More information

The Pulsed I d -V g methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics

The Pulsed I d -V g methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.2, JUNE, 2010 79 The Pulsed I d - methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics Chadwin

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

RECENTLY, (Ba, Sr)TiO thin films have been intensively

RECENTLY, (Ba, Sr)TiO thin films have been intensively 342 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 46, NO. 2, FEBRUARY 1999 Impact of Time Dependent Dielectric Breakdown and Stress-Induced Leakage Current on the Reliability of High Dielectric Constant

More information

Quantification of Trap State Densities at High-k/III-V Interfaces

Quantification of Trap State Densities at High-k/III-V Interfaces Quantification of Trap State Densities at High-k/III-V Interfaces Roman Engel-Herbert*, Yoontae Hwang, and Susanne Stemmer Materials Department, University of California, Santa Barbara *now at Penn State

More information

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University.

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. Homework 6: Gate Dielectric Breakdown Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University. In Lectures 21-26, we have discussed how thin-oxides break. Three

More information

Breakdown Characterization

Breakdown Characterization An Array-Based Test Circuit it for Fully Automated Gate Dielectric Breakdown Characterization John Keane, Shrinivas Venkatraman, Paulo Butzen*, and Chris H. Kim *State University of Rio Grande do Sul,

More information

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors M. Adachi 1, K. Okamoto 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, N.

More information

III-V field-effect transistors for low power digital logic applications

III-V field-effect transistors for low power digital logic applications Microelectronic Engineering 84 (2007) 2133 2137 www.elsevier.com/locate/mee III-V field-effect transistors for low power digital logic applications Suman Datta * Components Research, Technology Manufacturing

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits

Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 1, MARCH 2001 43 Physical and Predictive Models of Ultrathin Oxide Reliability in CMOS Devices and Circuits James H. Stathis Invited Paper

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

The Current Understanding of the Trap Generation Mechanisms that Lead to the Power Law Model for Gate Dielectric Breakdown

The Current Understanding of the Trap Generation Mechanisms that Lead to the Power Law Model for Gate Dielectric Breakdown The Current Understanding of the Trap Generation Mechanisms that Lead to the Power Law Model for Gate Dielectric Breakdown Paul E. Nicollian, Anand T. Krishnan, Cathy A. Chancellor, Rajesh B. Khamankar,

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information

Scaling behaviors of RESET voltages and currents in unipolar

Scaling behaviors of RESET voltages and currents in unipolar Scaling behaviors of RESET voltages and currents in unipolar resistance switching S. B. Lee, 1 S. C. Chae, 1 S. H. Chang, 1 J. S. Lee, 2 S. Seo, 3 B. Kahng, 2 and T. W. Noh 1,a) 1 ReCOE & FPRD, Department

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs Alex Guo and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Cambridge, MA, USA Sponsor:

More information

Interface dipole engineering in metal gate/high-k stacks

Interface dipole engineering in metal gate/high-k stacks Review Condensed Matter Physics August 2012 Vol.57 No.22: 2872 2878 doi: 10.1007/s11434-012-5289-6 SPECIAL TOPICS: Interface dipole engineering in metal gate/high-k stacks HUANG AnPing 1*, ZHENG XiaoHu

More information

Intrinsic Reliability Projections for a Thin JVD Silicon Nitride Gate Dielectric in P-MOSFET

Intrinsic Reliability Projections for a Thin JVD Silicon Nitride Gate Dielectric in P-MOSFET 4 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 1, MARCH 2001 Intrinsic Reliability Projections for a Thin JVD Silicon Nitride Gate Dielectric in P-MOSFET Igor Polishchuk, Student

More information

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1283 1287 Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation I.

More information

Dual-metal-gate Structure of AlGaN/GaN MIS HEMTs Analysis and Design

Dual-metal-gate Structure of AlGaN/GaN MIS HEMTs Analysis and Design Dual-metal-gate Structure of AlGaN/GaN MIS HEMTs Analysis and Design Mr. Gaurav Phulwari 1, Mr. Manish Kumar 2 Electronics & Communication Engineering 1, 2, Bhagwant University, Ajmer 1,2 M.Tech Scholar

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

SINCE MOSFETs are downscaling into nanometer regime,

SINCE MOSFETs are downscaling into nanometer regime, 3676 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 11, NOVEMBER 013 Investigations on Line-Edge Roughness (LER) and Line-Width Roughness (LWR) in Nanoscale CMOS Technology: Part II Experimental Results

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Towards understanding intrinsic degradation and breakdown mechanisms in SiOCH low-k dielectrics

Towards understanding intrinsic degradation and breakdown mechanisms in SiOCH low-k dielectrics Towards understanding intrinsic degradation and breakdown mechanisms in SiOCH low-k dielectrics C. Wu, Y. Li, I. Ciofi, Th. Kauerauf, J. Bömmels, I. De Wolf, Zs. Tőkei, and K. Croes Citation: Journal of

More information

The Physics of Soft-Breakdown and its Implications for Integrated Circuits

The Physics of Soft-Breakdown and its Implications for Integrated Circuits The Physics of Soft-Breakdown and its Implications for Integrated Circuits Muhammad Ashraful Alam in collaboration with B. Weir, P. Silverman, and R. K. Smith Agere Systems, PA 18109 What is Soft-Breakdown

More information

R&D Issues for High-k Gate Dielectrics

R&D Issues for High-k Gate Dielectrics R&D Issues for High-k Gate Dielectrics T.P. Ma Yale University Spacer High-k Gate Dielectric Stack Source Drain Gate electrode, poly Upper interfacial region Bulk high-k film Lower interfacial region Substrate

More information

WHEN stressed by an applied voltage, an oxide film loses

WHEN stressed by an applied voltage, an oxide film loses 232 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 2, FEBRUARY 2002 A Study of Soft and Hard Breakdown Part I: Analysis of Statistical Percolation Conductance Muhammad Ashraful Alam, Senior Member,

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ Introduction What is NBTI? Material Issues Device Issues

More information

Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics. Technology, Yokohama , Japan

Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics. Technology, Yokohama , Japan Effect of Remote-Surface-Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics M. Mamatrishat a, M. Kouda a, T. Kawanago a, K. Kakushima b, P. Ahmet a, A. Aierken c, K. Tsutsui b,

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics 158 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 3, SEPTEMBER 2001 Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Challenges and Opportunities. Prof. J. Raynien Kwo 年 Nanoelectronics Beyond Si: Challenges and Opportunities Prof. J. Raynien Kwo 年 立 Si CMOS Device Scaling Beyond 22 nm node High κ,, Metal gates, and High mobility channel 1947 First Transistor 1960 1960

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

SILICON-ON-INSULATOR (SOI) technology has been regarded

SILICON-ON-INSULATOR (SOI) technology has been regarded IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 10, OCTOBER 2006 2559 Analysis of the Gate Source/Drain Capacitance Behavior of a Narrow-Channel FD SOI NMOS Device Considering the 3-D Fringing Capacitances

More information

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets S. Deora 1,3, A. Paul 2, R. Bijesh 1, J. Huang 3, G. Klimeck 2, G. Bersuker 3, P. D. Krisch 3 and R. Jammy 3. 1 Department of Electrical

More information

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1162 1166 A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Y. S.

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 81 (2013) 119 123 Contents lists available at SciVerse ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Effect of surface preparation on the radiation

More information

RELIABILITY CHARACTERIZATION AND PREDICTION OF HIGH K DIELECTRIC THIN FILM. A Dissertation WEN LUO

RELIABILITY CHARACTERIZATION AND PREDICTION OF HIGH K DIELECTRIC THIN FILM. A Dissertation WEN LUO RELIABILITY CHARACTERIZATION AND PREDICTION OF HIGH K DIELECTRIC THIN FILM A Dissertation by WEN LUO Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date:

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date: Nov 1, 2006 ClassNotes: Jing Li Review: Sayeef Salahuddin 18.1 Review As discussed before,

More information

1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003

1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003 1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003 Electrical Characterization and Process Control of Cost-Effective High-k Aluminum Oxide Gate Dielectrics Prepared by Anodization Followed

More information

Experimental and theoretical study of ultra-thin oxides

Experimental and theoretical study of ultra-thin oxides Semicond. Sci. Technol. 13 (1998) A155 A159. Printed in the UK PII: S0268-1242(98)91837-5 Experimental and theoretical study of ultra-thin oxides E S Daniel, D Z-Y Ting and T C McGill T J Watson Sr Laboratory

More information

PHYSICS NOTE. Field-Induced Thin Oxide Wearout PN-103. Introduction. Extrinsic and Intrinsic Behavior

PHYSICS NOTE. Field-Induced Thin Oxide Wearout PN-103. Introduction. Extrinsic and Intrinsic Behavior PHYSICS NOTE PN-103 Field-Induced Thin Oxide Wearout Introduction Under voltage stress, the insulating quality of a thin oxide degrades due to generation of defects. If defects align themselves sufficiently

More information

Ferroelectric HfO 2 Thin Films

Ferroelectric HfO 2 Thin Films Ferroelectric HfO 2 Thin Films May 12 th, 2015 JACKSON ANDERSON ELECTRICAL AND MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Outline Introduction Background Project Objectives Experimental

More information

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System Journal of Physics: Conference Series PAPER OPEN ACCESS High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System To cite this

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures 034 Chin. Phys. B Vol. 19, No. 5 2010) 057303 Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures Liu Hong-Xia ), Wu Xiao-Feng ), Hu Shi-Gang

More information

Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet

Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Impact of different NBTI defect components on sub-threshold operation of high-k p-mosfet To cite this article: H Hussin et al

More information

Accumulation Gate Capacitance of MOS Devices With Ultrathin High-κ Gate Dielectrics: Modeling and Characterization

Accumulation Gate Capacitance of MOS Devices With Ultrathin High-κ Gate Dielectrics: Modeling and Characterization Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 6-6-2006 Accumulation Gate Capacitance of MOS Devices With Ultrathin High-κ Gate Dielectrics: Modeling and

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

GaSb Metal-Oxide-Semiconductor Capacitors with Atomic-Layer-Deposited HfAlO as Gate Dielectric

GaSb Metal-Oxide-Semiconductor Capacitors with Atomic-Layer-Deposited HfAlO as Gate Dielectric Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 1-8-11 GaSb Metal-Oxide-Semiconductor Capacitors with Atomic-Layer-Deposited HfAlO as Gate Dielectric Chen Wang Birck

More information

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor

Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Progress In Electromagnetics Research M, Vol. 34, 171 179, 2014 Analytical Optimization of High Performance and High Quality Factor MEMS Spiral Inductor Parsa Pirouznia * and Bahram Azizollah Ganji Abstract

More information

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 Courtesy of S. Salahuddin (UC Berkeley) Lecture 4 MOSFET Transport Issues semiconductor band structure quantum confinement effects low-field mobility and high-field saturation Reading: - M. Lundstrom,

More information

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Supplementary Information for: Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Mengwei Si, Chunsheng Jiang, Wonil Chung, Yuchen Du, Muhammad A. Alam, and Peide D. Ye School of Electrical

More information

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet S. F. Wan Muhamad Hatta a, H. Hussin *a, b, F. Y. Soon a, Y. Abdul Wahab a, D. Abdul Hadi a, N. Soin

More information

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr 10.1149/05305.0203ecst The Electrochemical Society Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr Institute for

More information

Electric breakdowns and breakdown mechanisms in ultrathin silicon oxides

Electric breakdowns and breakdown mechanisms in ultrathin silicon oxides Microelectronics Reliability 39 (1999) 171±179 Electric breakdowns and breakdown mechanisms in ultrathin silicon oxides J.C. Jackson a,oè Oralkan b, D.J. Dumin a, *, G.A. Brown c a Center for Semiconductor

More information

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 15, NO. 3, SEPTEMBER 2015 319 Analysis of Intrinsic Charge Loss Mechanisms for Nanoscale NAND Flash Memory Jun Yeong Lim, Student Member, IEEE,

More information

Compound buried layer SOI high voltage device with a step buried oxide

Compound buried layer SOI high voltage device with a step buried oxide Compound buried layer SOI high voltage device with a step buried oxide Wang Yuan-Gang( ), Luo Xiao-Rong( ), Ge Rui( ), Wu Li-Juan( ), Chen Xi( ), Yao Guo-Liang( ), Lei Tian-Fei( ), Wang Qi( ), Fan Jie(

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser Recent Developments in Device Reliability Modeling: The Bias Temperature Instability Tibor Grasser Institute for Microelectronics, TU Vienna Gußhausstraße 27 29, A-14 Wien, Austria TU Wien, Vienna, Austria

More information

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer

Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Journal of the Korean Physical Society, Vol. 33, No., November 1998, pp. S406 S410 Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer Jee-Won Jeong, Byeong-Kwon

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information