Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Size: px
Start display at page:

Download "Yield. Economics. Victor Ovchinnikov. Chapters 36, 37"

Transcription

1 Yield. Economics Victor Ovchinnikov Chapters 36, 37

2 Previous material CMOS Released structural layers MEMS Multilayer metallization Aalto Nanofab, 2017 Microfabrication 2

3 Content Yield definition Yield models Yield trends Wafer/chip cost Cost-of-ownership Cost of processed silicon Aalto Nanofab, 2017 Microfabrication 3

4 IC defects Aalto Nanofab, 2017 Microfabrication 4

5 Silicon wafer and chips edge exclusion 2-3 mm scribe lines for chip dicing 100 µm alignment marks for lithography 0.3x0.3 mm 2 wafer flat for orientation checking 32.5 mm (100 mm wafer) 100 mm diameter silicon wafer Chip allocation on a wafer Aalto Nanofab, 2017 Microfabrication 5

6 Yield It is a quotient of good outcomes to total (wafers, chips): YY = NN gggggggg NN tttttttttt If a process consists of several steps YY = where i notes yield of individual step. ii yy ii In case of systematic and random components YY = YY ssssss YY rrrrrrrr e.g., global disturbance Y sys and spot defects Y rand Aalto Nanofab, 2017 Microfabrication 6

7 Effect of process step amount YY = ii yy ii y i - yield of i-th step Aalto Nanofab, 2017 Microfabrication 7

8 Poisson yield model The random-yield loss Good matching YY = ee DDDD D defect density, A chip area Too pessimistic for large A Aalto Nanofab, 2017 Microfabrication 8

9 General yield model (negative binomial) α cluster factor All models present random part of yield Y rand! Aalto Nanofab, 2017 Microfabrication 9

10 Clustering coefficien (α=β) and yield 58% 38% ANF average number of faults YY PP = ee 1 = 36.8% Aalto Nanofab, 2017 Microfabrication 10

11 Defect densities Investments in new equipment and technology have driven down defect densities and ultimately improved yields. Aalto Nanofab, 2017 Microfabrication 11

12 Die size Shrinking linewidth have showed the rate of growth in die size to 1.14x per year. Aalto Nanofab, 2017 Microfabrication 12

13 Why do we talk about yield? Yield defines profit Yield demonstrates quality of the process Yield variations reflect process changing: Materials Environment Tools Operator skill Wrong desing... Aalto Nanofab, 2017 Microfabrication 13

14 Yield loss contributors and yield ramp Mask set has been designed Start from beginning Aalto Nanofab, 2017 Microfabrication 14

15 Yield and technology nodes Aalto Nanofab, 2017 Microfabrication 15

16 Why does microfabrictation exist? Science New gadgets Money Aalto Nanofab, 2017 Microfabrication 16

17 Intel Market share 14% Profit 20% Aalto Nanofab, 2017 Microfabrication 17

18 Factory cost Aalto Nanofab, 2017 Microfabrication 18

19 Exposure system costs Aalto Nanofab, 2017 Microfabrication 19

20 Revenue for semiconductors Aalto Nanofab, 2017 Microfabrication 20

21 Silicon wafers 5 billion dollars used for silicon wafers 5 km 2 of silicon wafers used Cost of silicon is 5*10 9 $/5*10 10 cm 2 = 0.1 $/ cm mm wafer (314 cm 2 ) 30 dollars 160 million wafers annually (if all were 200 mm) A big fab has wafer starts per month (WPM) No more than 250 big wafer fabs in the world Aalto Nanofab, 2017 Microfabrication 21

22 Cost of processed silicon IC industry annual turnover is 250 billion, 250 big fabs a fab produces 1 billion $ a year Price of processed silicon is: 250*10 9 $/5*10 10 cm 2 = 5 $/cm 2 Because profits are very small, the cost of processing silicon is close to 5 $/cm 2 Silicon wafer cost is only 2% of IC cost Aalto Nanofab, 2017 Microfabrication 22

23 Equipment numbers for a WPM fab Lithography tools 35 Wet stations 70 Oxidation/diffusion tubes 30 Ion implanters 15 LPCVD tubes 10 PECVD reactors 40 Plasma etchers 50 Metal deposition systems 40 CMP tools 60 Aalto Nanofab, 2017 Microfabrication 23

24 Cycle time Cycle time (CT) is the number of days it takes to complete a lot. Process time (PT) is the actual time it takes for the wafer to be processed. The ratio CT/PT is a measure of fab efficiency and is about 2 for standard processing. Aalto Nanofab, 2017 Microfabrication 24

25 Cost of ownership (CoO) equipment+labour+consumables+operation+yield loss CoO = equipment life throughput utilization rework rate Process step cost per wafer =investment cost + process cost Aalto Nanofab, 2017 Microfabrication 25

26 Lithography cost Equipment cost $ Equipment life 5 years Utilization 85% Throughput 25 WPH Rework rate wafers and investment cost is $4.2 per wafer Aalto Nanofab, 2017 Microfabrication 26

27 Process cost and yield loss Labour Consumables (resist) Operation (electricity) $1.7 per wafer $2 per wafer $0.15 per wafer Total lithography cost (CoO) is $8.55 per wafer If cost of chip is $3 and 350 chips on wafer and 7 of them are scrap, then yield loss is 7 3=$21 Aalto Nanofab, 2017 Microfabrication 27

28 Cost of Ownership (CoO) Aalto Nanofab, 2017 Microfabrication 28

29 Technology nodes and market Aalto Nanofab, 2017 Microfabrication 29

30 Most profitable linewidth Yield Cost Profit Aalto Nanofab, 2017 Microfabrication 30

31 Optimum chip size constant over 30 years!!! Aalto Nanofab, 2017 Microfabrication 31

32 Conclusions Yield (defect loss) is inherent feature of the microfabrication Yield can be statistically analyzed and controlled Yield depends both on design and on processing Every technology node has optimum chip size Cost of ownership is a universal figure of merit for all tools Aalto Nanofab, 2017 Microfabrication 32

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC A Cost and Yield Analysis of Wafer-to-wafer Bonding Amy Palesko amyp@savansys.com SavanSys Solutions LLC Introduction When a product requires the bonding of two wafers or die, there are a number of methods

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group EV Group Enabling processes for 3D interposer Dr. Thorsten Matthias EV Group EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Slide 1 Raymond Jin, Adcon Lab, Inc.

Slide 1 Raymond Jin, Adcon Lab, Inc. Volume Production Proven Advanced Nanometer Slurries for CMP Applications, Capable of Recycling and Extendable to Larger Si Wafer Sizes and Future IC Technology Nodes Raymond R. Jin, X. L. Song, S. M.

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

Molecular Electronics For Fun and Profit(?)

Molecular Electronics For Fun and Profit(?) Molecular Electronics For Fun and Profit(?) Prof. Geoffrey Hutchison Department of Chemistry University of Pittsburgh geoffh@pitt.edu July 22, 2009 http://hutchison.chem.pitt.edu Moore s Law: Transistor

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers Claudio Truzzi, PhD Chief Technology Officer Alchimer Overview Introduction Electrografting (eg) Technology Description

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

a) Graph the equation by the intercepts method. Clearly label the axes and the intercepts. b) Find the slope of the line.

a) Graph the equation by the intercepts method. Clearly label the axes and the intercepts. b) Find the slope of the line. Math 71 Spring 2009 TEST 1 @ 120 points Name: Write in a neat and organized fashion. Write your complete solutions on SEPARATE PAPER. You should use a pencil. For an exercise to be complete there needs

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Solutions. ams 11b Study Guide 9 econ 11b

Solutions. ams 11b Study Guide 9 econ 11b ams 11b Study Guide 9 econ 11b Solutions 1. A monopolistic firm sells one product in two markets, A and B. The daily demand equations for the firm s product in these markets are given by Q A = 100 0.4P

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

ORI 390Q Models and Analysis of Manufacturing Systems First Exam, fall 1994

ORI 390Q Models and Analysis of Manufacturing Systems First Exam, fall 1994 ORI 90Q Models and Analysis of Manufacturing Systems First Exam, fall 1994 (time, defect rate) (12,0.05) 5 6 V A (16,0.07) (15,0.07) (5,0) M 1 1 2 M1 M2 O A (10,0.1) 7 8 V B (8,0.2) M4 2 4 M5 The figure

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Combinatorial Heterogeneous Catalysis

Combinatorial Heterogeneous Catalysis Combinatorial Heterogeneous Catalysis 650 μm by 650 μm, spaced 100 μm apart Identification of a new blue photoluminescent (PL) composite material, Gd 3 Ga 5 O 12 /SiO 2 Science 13 March 1998: Vol. 279

More information

Optimization, constrained optimization and applications of integrals.

Optimization, constrained optimization and applications of integrals. ams 11b Study Guide econ 11b Optimization, constrained optimization and applications of integrals. (*) In all the constrained optimization problems below, you may assume that the critical values you find

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Fall 2003 EE290H Tentative Weekly Schedule

Fall 2003 EE290H Tentative Weekly Schedule Fall 2003 EE290H Tentative Weekly Schedule 1. Functional Yield of ICs and DFM. 2. Parametric Yield of ICs. 3. Yield Learning and Equipment Utilization. 4. Statistical Estimation and Hypothesis Testing.

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

A Review of Auction Theory: Sequential Auctions and Vickrey Auctions

A Review of Auction Theory: Sequential Auctions and Vickrey Auctions A Review of Auction Theory: and Vickrey Daniel R. 1 1 Department of Economics University of Maryland, College Park. September 2017 / Econ415 . Vickrey s. Vickrey. Example Two goods, one per bidder Suppose

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

Microfabrication for MEMS: Part I

Microfabrication for MEMS: Part I Microfabrication for MEMS: Part I Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia, from whose lecture notes some of these materials are adapted. CL: 6.777J/2.372J

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

EE290H. Special Issues in Semiconductor Manufacturing

EE290H. Special Issues in Semiconductor Manufacturing EE290H Special Issues in Semiconductor Manufacturing Costas J. Spanos Department of Electrical Engineering and Computer Sciences el (510) 643 6776, fax (510) 642 2739 email spanos@eecs.berkeley.edu Kameshwar

More information

Wafer warpage detection during bake process in. photolithography

Wafer warpage detection during bake process in. photolithography Wafer warpage detection during bake process in photolithography Yang Kai (B.Eng) A THESIS SUBMITTED FOR THE DEGREE OF MASTER OF ENGINEERING DEPARTMENT OF ELECTRICAL & COMPUTER ENGINEERING NATIONAL UNIVERSITY

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

How to work in the NL-cleanroom (1) Multi-application lab: Training, support and tools. By Christiaan Bruinink, Meint de Boer and Bert van den Akker

How to work in the NL-cleanroom (1) Multi-application lab: Training, support and tools. By Christiaan Bruinink, Meint de Boer and Bert van den Akker How to work in the NL-cleanroom (1) Multi-application lab: Training, support and tools By Christiaan Bruinink, Meint de Boer and Bert van den Akker Contents Multi-application cleanroom Objectives Path

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

8.1 Apply Exponent Properties Involving Products. Learning Outcome To use properties of exponents involving products

8.1 Apply Exponent Properties Involving Products. Learning Outcome To use properties of exponents involving products 8.1 Apply Exponent Properties Involving Products Learning Outcome To use properties of exponents involving products Product of Powers Property Let a be a real number, and let m and n be positive integers.

More information

MA 181 Lecture Chapter 7 College Algebra and Calculus by Larson/Hodgkins Limits and Derivatives

MA 181 Lecture Chapter 7 College Algebra and Calculus by Larson/Hodgkins Limits and Derivatives 7.5) Rates of Change: Velocity and Marginals MA 181 Lecture Chapter 7 College Algebra and Calculus by Larson/Hodgkins Limits and Derivatives Previously we learned two primary applications of derivatives.

More information

Question 1. (8 points) The following diagram shows the graphs of eight equations.

Question 1. (8 points) The following diagram shows the graphs of eight equations. MAC 2233/-6 Business Calculus, Spring 2 Final Eam Name: Date: 5/3/2 Time: :am-2:nn Section: Show ALL steps. One hundred points equal % Question. (8 points) The following diagram shows the graphs of eight

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Lesson 6: Switching Between Forms of Quadratic Equations Unit 5 Quadratic Functions

Lesson 6: Switching Between Forms of Quadratic Equations Unit 5 Quadratic Functions (A) Lesson Context BIG PICTURE of this UNIT: CONTEXT of this LESSON: How do we analyze and then work with a data set that shows both increase and decrease What is a parabola and what key features do they

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Thin Wafer Handling Debonding Mechanisms

Thin Wafer Handling Debonding Mechanisms Thin Wafer Handling Debonding Mechanisms Jonathan Jeauneau, Applications Manager Alvin Lee, Technology Strategist Dongshun Bai, Scientist, 3-D IC R&D Materials Outline Requirements of Thin Wafer Handling

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8 EECS 141 S02 Lecture 8 Power Dissipation CMOS Scaling Last Lecture CMOS Inverter loading Switching Performance Evaluation Design optimization Inverter Sizing 1 Today CMOS Inverter power dissipation» Dynamic»

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 6: Process

More information

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis EEC 118 Lecture #16: Manufacturability Rajeevan Amirtharajah University of California, Davis Outline Finish interconnect discussion Manufacturability: Rabaey G, H (Kang & Leblebici, 14) Amirtharajah, EEC

More information

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu January 15, 2014 1 Contents

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Transistor and Integrated Circuits: History

Transistor and Integrated Circuits: History Course Objective Review and practice fundamental chemical engineering concepts (mass, energy, and momentum transport coupled with heterogeneous and homogeneous reactions and thermodynamics). Apply these

More information

Deviant Behavior in Monetary Economics

Deviant Behavior in Monetary Economics Deviant Behavior in Monetary Economics Lawrence Christiano and Yuta Takahashi July 26, 2018 Multiple Equilibria Standard NK Model Standard, New Keynesian (NK) Monetary Model: Taylor rule satisfying Taylor

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

1 Functions And Change

1 Functions And Change 1 Functions And Change 1.1 What Is a Function? * Function A function is a rule that takes certain numbers as inputs and assigns to each a definite output number. The set of all input numbers is called

More information

EE290H. Special Issues in Semiconductor Manufacturing

EE290H. Special Issues in Semiconductor Manufacturing EE290H Special Issues in Semiconductor Manufacturing Costas J. Spanos Department of Electrical Engineering and Computer Sciences el (510) 643 6776, fax (510) 642 2739 email spanos@eecs.berkeley.edu Kameshwar

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

2. Linear Programming Problem

2. Linear Programming Problem . Linear Programming Problem. Introduction to Linear Programming Problem (LPP). When to apply LPP or Requirement for a LPP.3 General form of LPP. Assumptions in LPP. Applications of Linear Programming.6

More information

per chip (approx) 1 SSI (Small Scale Integration) Up to 99

per chip (approx) 1 SSI (Small Scale Integration) Up to 99 Q.2 a. Classify the integration technology as per the scale of integration. Explain in brief the various steps involved in fabrication of monolithic IC. Scales of Integration (Basic) Various steps involved

More information

Chapter 1 Linear Equations

Chapter 1 Linear Equations . Lines. True. True. If the slope of a line is undefined, the line is vertical. 7. The point-slope form of the equation of a line x, y is with slope m containing the point ( ) y y = m ( x x ). Chapter

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information