Unit 1 - Digital System Design

Size: px
Start display at page:

Download "Unit 1 - Digital System Design"

Transcription

1 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 IGITAL SYSTM MOL FSM (CONTROL) + ATAPATH CIRCUIT Uit - igital Sytem eig ATAPATH CIRCUIT Iput FINIT STAT MACHIN CONTROL CIRCUIT Output XAMPLS CAR LOT COUNTR photo receptor If A = No light received (car obtructig L A) If B = No light received (car obtructig L B) B A If car eter the lot, the folloig equece (A B) mut be folloed: If car leave the lot, the folloig equece (A B) mut be folloed: A car might tay i a tate for may cycle ice the car peed i very large compared to that of the frequecy. IGITAL SYSTM (FSM + atapath circuit) Uually, he (aychroou clear) ad are ot dra, they are implied. A B FINIT STAT MACHIN ud ud CONTROL CIRCUIT -bit couter ATAPATH CIRCUIT Itructor: aiel Llamocca

2 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 Fiite State Machie (FSM): A B/ ud = / / / / / / / / /,,/ S S3 S S5 / / / / / / / / / / / / / / / S6 / / S7 S8 / / / Algorithmic State Machie (ASM) chart: S = AB= o ye AB S3 S6 AB AB S S7 AB AB S S8, ud AB AB 2 Itructor: aiel Llamocca

3 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 BOUNCING CIRCUIT Mechaical boucig lat approximately 2 m. The, e have to make ure that the iput igal i table ( ) for at leat 2 m before e aert _db. The, to deaert _db, e have to make that the i table ( ) for at leat 2 m. IGITAL SYSTM (FSM + atapath circuit) Couter to N-: = = +. clr: Sychroou clear. The ay it i deiged, if clr = ad =, the =. If T i the period of the igal, the N = 2m. T For example, for MH iput, T =. The N = 2m = m 2 m FSM clr _db _db <2 m <2 m clr couter to N- comparator =N-? Algorithmic State Machie: S = S, clr ait for the firt '' clr for _db=, mut be for at leat 2 m S3 _db S _db, ait for the firt '' clr for _db=, mut be for at leat 2 m 3 Itructor: aiel Llamocca

4 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 BIT-COUNTING CIRCUIT (COUNTING S) Thi circuit cout the umber of bit i regiter A hoe value i. xample: A = C =. The equetial algorithm (peudo-code) i available. I thi cae, e ca follo thi procedure to deig a digital ytem: Sketch the block diagram: We eed tart ad doe igal to idicate he the proce tart ad fiihe. We alo iclude iput (ata for the Regiter A) ad output data (Cout). Sketch the high-level cotrol mechaim (tate machie) for the Bit-coutig circuit. Here, you ca iclude combiatioal block a ell a commo ychroou block (regiter, hift regiter, couter). With the block diagram ad high-level cotrol mechaim, e ca tart icludig the compoet ad their igal i the datapath. Baed o the high-level tate machie, e ca deig the actual tate machie that iclude pecific igal cotrollig the compoet. High-level FSM S Reet Sequetial Algorithm C C hile A if a = the C C + ed if right hift A ed hile Load A A Bit-coutig circuit C doe S3 doe y e A=? o Shif t right A a C C+ IGITAL SYSTM (FSM + atapath circuit) The digital ytem i depicted belo: FSM + atapath. xample: For = 8: if A =, the C =. m-bit couter: If = clr =, the cout i iitialied to ero. If =, clr =, the cout i icreaed by. Parallel acce hift regiter: If = : _l = Load, _l = Shift. A S = C, clrc LA A di _l Parallel Acce _l = Load _l = Shift A Shift-Right C clrc clr C A, LA couter: m bit a = 2 A FINIT STAT MACHIN doe S3 doe a C Itructor: aiel Llamocca

5 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 Timig diagram ( = 8, = ): A A 36 B tate S S S3 S S3 C clrc C doe A LA INTGR BAS-2 LOGARITHM Thi circuit compute 2 A. ata (uiged iteger) i cotaied i regiter A ( bit) The equetial algorithm (peudo-code) i available. We follo the procedure pecified i the previou example. Note that itead of performig a right hift to A to get A 2, e ill get A 2 by created a hifted verio of A. xample (=): A = a 3 a 2 a a A 2 = a 3 a 2 a. Alo akig A = i the ame a akig hether A 2 =. High-level FSM S Reet Sequetial Algorithm L L hile A A A - A/2 L L + ed hile Load A A Bit-coutig circuit L doe S3 doe y e A= o update A L L + 5 Itructor: aiel Llamocca

6 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 IGITAL SYSTM (FSM + atapath circuit) The digital ytem i depicted belo. For bit, 2 A [, ]. Thu, the reult eed 2 ( ) bit. m-bit couter: If = clr =, the cout i iitialied to ero. If =, clr =, the cout i icreaed by. Regiter: If = : clr = Clear, clr = Load. A A clr A A a -a -2 a = 2 L clrl clr L = S L, clrl A, A uiged ubtractor - A FSM Couter to doe S3 doe A L A A A 35 B tate S S S3 S L clrl L doe A A 6 Itructor: aiel Llamocca

7 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 LAING ZRO TCTOR (ITRATIV) A equece of N bit i fed to the circuit erially. The circuit geerate the umber of that exit before the firt. xample (N=5): If the umber i: Output: 2 If the umber i: Output: 5 If the umber i: Output: X tart LAING- TCTOR R doe = 2 N tart x doe R IGITAL SYSTM (FSM + atapath circuit) Iput: X (erial data, MSB firt), tart. Output: (leadig detected), doe (N bit proceed), ad R (umber of before the firt ). The proce beig ith the aertio of tart. Whe the firt i detected, the = (for cycle) ad R i froe. Whe all the bit of the equece have bee proceed, doe=. We ca re-tart the proce after thi. Note that if X i jut, i ever. Couter R ad : modulo-(n+): cout from to N. x tart doe FSM b eb db clr R clrr clr db eb clr couter to N b R clrr clr couter to N R S = R, clrr, clr eb, db tart b x eb db R o =N- y e S3 doe,, clr R, clrr tart 7 Itructor: aiel Llamocca

8 _G O_G _ext _R O_R _R O_R _R2 O_R2 _R3 O_R3 op _A LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 SIMPL PROCSSOR IGITAL SYSTM (FSM + atapath circuit) Thi ytem i a baic Cetral Proceig Uit (CPU). For completee, a memory ould eed to be icluded. Here, the Cotrol Circuit could be implemeted a a State Machie. Hoever, i order to implify the State Machie deig, the Cotrol Circuit i partitioed ito a datapath circuit ad a FSM. ata_i ata BUS R R R2 R3 A B ALU G fu 7 CONTROL CIRCUIT doe OPRATION very time = '', e grab the itructio from fu ad execute it. Itructio = f 2 f f Ry Ry Rx Rx. Thi i called machie laguage itructio or Aembly itructio: f 2 f f : Opcode (operatio code). Thi i the portio that pecifie the operatio to be performed. Rx: Regiter here the reult of the operatio i tored (e alo read data from Rx). Rx ca be R, R2, R3, R. Ry: Regiter here e oly read data from. Ry ca be R, R2, R3, R. f = f 2f f Operatio Fuctio Load Rx, ata Rx ata Move Rx, Ry Rx Ry Add Rx, Ry Rx Rx + Ry Sub Rx, Ry Rx Rx - Ry Not Rx Rx NOT (Rx) Ad Rx, Ry Rx Rx AN Ry Or Rx, Ry Rx Rx OR Ry Xor Rx, Ry Rx Rx XOR Ry 8 Itructor: aiel Llamocca

9 _fu _A _G op O_G _ext LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 Cotrol Circuit: Thi i made out of ome combiatioal uit, a regiter, ad a FSM: x: very time e at to eable regiter Rx, the FSM oly aert x (itead of cotrollig _R, _R, _R2, _R3 directly). The decoder take care of geeratig the eable igal for the correpodig regiter Rx. o, o: very time e at to read from regiter Ry (or Rx), the FSM oly aert o (itead of cotrollig O_R, O_R, O_R2, O_R3 directly) ad o (hich igal hether to read from Rx or Ry). The decoder take care of geeratig the eable igal for the correpodig regiter Rx or Ry. fu _fu 7 7 fuq Rx Rx x COR ith eable 2 3 _R _R _R2 _R3 Ry Rx o o COR ith eable 2 3 O_R O_R O_R2 O_R3 fuq = f 2 f f Ry Ry Rx Rx x o o f 3 FSM doe Arithmetic-Logic Uit (ALU): op Operatio Fuctio Uit y <= A y <= A + y <= A - Trafer A Icremet A ecremet A y <= B Trafer B y <= B + Icremet B Arithmetic y <= B y <= A + B y <= A B ecremet B Add A ad B Subtract B from 'A' y <= ot A y <= ot B y <= A AN B y <= A OR B y <= A NAN B y <= A NOR B y <= A XOR B y <= A XNOR B Complemet A Complemet B AN OR NAN NOR XOR XNOR Logic 9 Itructor: aiel Llamocca

10 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 Algorithmic State Machie (ASM): very brach of the FSM implemet a Aembly itructio. S = _fu _ext, x doe f o, x doe o, o _A o, o _A o, o _A o, o _A o, o _A o, o _A S3a Sa S5a S6a S7a S8a o, _G op o, _G op _G op o, _G op o, _G op o, _G op S3b Sb S5b S6b S7b S8b O_G, x doe O_G, x doe O_G, x doe O_G, x doe O_G, x doe O_G, x doe Itructor: aiel Llamocca

11 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 BINARY TO BC CONVRSION OUBL ABBL ALGORITM Give a N-bit uiged biary umber, e left hift every oe of the N bit ito a e regiter (that hold the BC digit). We thu have N iteratio. If after hiftig, ay of the BC digit (oe or more) i greater tha, e icremet it by 3. The exceptio i o the lat iteratio, here after hiftig, e do ot icremet ay ibble. For N bit, e eed N 3 BC digit. So the BC regiter i N 3 bit ide. Number of bit Biary rage Number of BC digit [-5] 2 7 [-27] 3 [-23] [-6383] 5 N [, 2 N ] N 3 Why doe it ork? Thik of BC hiftig: e at to hift the bit of a BC umber, but preervig the BC repreetatio. If a umber loer or equal tha i hifted, e get at mot 8, till repreetable i BC. If a umber greater tha i hifted, the miimum umber e get i =2, hich i ot i BC. If e add 3 to the umber ad the hift, e ill get the proper BC reult ith 2 BC digit. xample: 5=2. If e hift, e get 2. 2 ould be i BC. To get thi, e ca add 3 to 2, reultig i 2. After hiftig, e get. The ame happe for umber 6, 7, 8, ad 9. xample: 255 = 2 (N=8). We eed N 3 = 3 BC digit. Note that there are N = 8 iteratio. The table ho the tate after a operatio ha bee applied. I the example, oly a ibble get icremeted at a time. BC umber Biary umber Procedure jut applied Procedure to apply Iitialiatio Left hift Left hift Left hift Left hift Left hift Left hift. >. The e add 3 to Add 3 to Left hift Left hift. >. The e add 3 to Add 3 to Left hift Left hift Left hift Left hift. >. The e add 3 to Add 3 to Left hift Left hift >. The e add 3 to Add 3 to Left hift Left hift. Noe. We do ot add 3 i the lat iteratio xample: 72 = (N=8). We eed N 3 = 3 BC digit. Note that there are N = 8 iteratio. The table ho the tate after a operatio ha bee applied. I geeral, more tha a ibble ca be icremeted at a time. BC umber Biary umber Procedure jut applied Procedure to apply Iitialiatio Left hift Left hift Left hift Left hift Left hift Left hift >. The e add 3 to Add 3 to Left hift Left hift Left hift Left hift Left hift Left hift Left hift Left hift >, >. The e add 3 to ad Add 3 to ad Left hift Left hift Note. We do ot add 3 i the lat iteratio Itructor: aiel Llamocca

12 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 IGITAL SYSTM (FSM + atapath circuit) tart doe i_bi clrb B FSM K _A L_A _LB clr_b _C clr_c _CB clr_cb B _C _CB CB F _C clr_c clr couter to N- _C _CB clr_cb clr couter to K- _CB CB _LB COR 2... K- LB() LB() LB(2) LB(K-) N pb(k) o o LFT SHIFT clr pb(k-) pb(2) LFT SHIFT clr pb() LFT SHIFT clr pb() _L _L _L RGISTR B(K-) RGISTR B() RGISTR B() LB(K-) LB() LB() o B(K-) B() B() o LFT SHIFT RGISTR _L A LA F... K- + B o_bcd(k-)... o_bcd() CB o_bcd() Algorithmic State Machie (ASM) Chart: S = clrb, B ".." _C, clr_c, _CB, clr_cb tart LA, A B "..", A S3 F > & _C= o ye _LB B(CB) _CB _CB _CB, clr_cb _C _C _C, clr_c S doe tart 2 Itructor: aiel Llamocca

13 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 XTRA TOPICS LINAR FBACK SHIFT RGISTRS (LFSRS) LFSR have a imple ad fairly regular tructure. Typical compoet: -type flip flop, ad logic gate. Advatage: very little hardare ad high peed operatio. Iput to flip flop are liear fuctio of the previou tate. epite the imple appearace, LFSR are baed o rather complex mathematical theory ad have iteretig applicatio i the area of digital ytem tetig, cryptography, ad fault-tolerat computig. Typical applicatio: rror correctio/detectio, peudo radom umber geerator, fat couter. A geeric -bit LFSR i depicted belo: 3 2 x clk LINAR FUNCTION APPLICATION: CYCLIC RUNANCY CHCK (CRC) Thi error-detectio code i ued i digital commuicatio (e.g.: theret, CAN), ad torage device (e.g.: RAM). Commuicatio ytem k-bit meage: M = k k 2. CRC code: R = r r 2 r r. Stream et: k k 2 r r 2 r r CRC Geerator Tramitted bit CRC Checker error Aociated polyomial: M(x) = k x k k 2x k 2 x x. Order: k R(x) = r x r 2 x 2 r x r x. Order: The CRC code i calculated a a remaider: R(x) = re aider ( x M(x) G(x) G(x) = g x g x g x g x. Thi i the geeratig polyomial of order. G = g g g g Here, he dealig ith polyomial operatio, e ue modulo-2 polyomial arithmetic (Galoi field of to elemet: GF(2)). The coefficiet of the polyomial ca oly be or. The multiplicatio operatio ca be implemeted a a imple AN gate, ad the additio (or ubtractio) operatio ca be implemeted by a XOR gate: a ± b = a b, a {,}. xample: x x = x x =. CRC geerator: It compute R(x). It iput i x M(x) k k 2. CRC checker: O the receiver ide, both the meage ad CRC code might be corrupted by the chael: M (x) ad R (x). So, e mut check if re aider ( x M (x) ) = R (x). If o, e ay that the ytem paed the CRC check. G(x) Note that thi implie: x M (x) = (x)g(x) R (x) x M (x) R (x) = x M (x) R (x) = (x)g(x). I modulo-2 arithmetic, R (x) = R (x). It follo that x M (x) R (x) hould be diviible by G(x). The CRC checker tet if re aider ( x M (x)+r (x) ) =. If the remaider i ero, e ay that it paed the CRC check. It G(x) i till poible for R (x) ad M (x) to make the remaider equal to ero but thi i far le likely. The iput to the CRC checker i x M (x) R (x) k k 2 r r 2 r. 3 Itructor: aiel Llamocca

14 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 xample: CRC- ( = ): M =, G = M(x) = x 7 x 6 x 5 x 2 x x M(x) = x (x 7 x 6 x 5 x 2 x) = x x x 9 x 6 x 5 G(x) = x x 3 R(x) = x 2 x CRC circuit The folloig LFSR implemet -bit CRC. Compoet: flip flop, AN, XOR gate. Note that g = i ot ued. Thi i becaue it i commo i CRC to have g =. Thi i already coidered i the deig of the circuit. xample ith M =, G = : Whe itegrated ito the CRC geerator, the iput i give by: x M(x). The output reult i R =. Whe itegrated ito the CRC checker, the iput i give by: x M (x) R (x). The reult of the circuit i re aider =, idicatig a valid tramiio. R R R 2 R 3 x clk G G G 2 G 3 clk x R clk x R Applicatio: The circuit above ca be implemeted for ay umber of bit. For example: CRC-32 (theret), CRC-5 (CAN), ad CRC- (trivial eve parity geerator ith G(x) = x ). Thi i a erial implemetatio, i.e., each bit i fed to the circuit at a time. Other implemetatio proce ome bit i parallel (for CRC-, the parity geerator i C27: Note Uit procee all the bit at oce). The deig of the geeratig polyomial G(x) i outide the cope of thi cla. Thee circuit are better implemeted i hardare for high peed ad lo reource utiliatio. x clk G R Itructor: aiel Llamocca

15 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 MOULO-N COUNTR SIGN A modulo-n couter could be deiged by the State Machie method, but thi ca be very cumberome if N i a large umber. For example, if N=, e eed tate. The figure ho a couter modulo-2 that ue a regiter, ad adder, a comparator, ad logic gate. : cout. : output igal aerted oly he the maximum cout (99) i reached. Thi ca be eaily decribed i VHL uig the tructural decriptio. You ca alo ue the behavioral decriptio, here the cout i icreaed by every cycle ad i aerted he the cout reache N a 7 b 7 + a 6 b 6 a 5 b 5 clr clr 8 B a b a 3 b 3 A=B clr: ychroou clear If =clr=, the = 8 A = 99? A=B a 2 b 2 a b a b MMORY COING Phyical implemetatio of memory i ot homogeeou: ifferet portio of memory are ued for differet purpoe: RAM, ROM, I/O device. A proceor ca uually addre a memory pace that i much larger tha the memory pace covered by a idividual memory chip. ve if all the memory a of oe type, e till have to implemet it uig multiple IC. For a give valid addre, oe ad oly oe memory-mapped compoet mut be acceed. Addre ecodig: Proce of geeratig chip elect (CS, C) igal from the addre bu for each device i the ytem. The Addre bu lie (N+M bit) i plit ito to ectio: The N mot igificat bit are ued to geerate the CS igal for the differet device. The M leat igificat bit are paed to the device a addree. XAMPL A 2-bit addre lie i a proceor hadle up to 2 2 = MB of addree, each addre cotaiig oe-byte of iformatio. We at to coect four 256KB memory chip to the proceor. The 2 MSB of the addre lie are ued to geerate the CS igal. The 8 LSB are paed to the device a addree. The pik-haded circuit: i) addree the memory chip, ad ii) eable oly oe memory chip (via C: chip eable) he the addre fall i the correpodig rage. xample: if addre = x5ffff, oly memory chip 2 i eabled (C=). If addre = x23, oly memory chip i eabled KB 256KB 256KB 256KB FFFF 7FFFF 8 BFFFF C FFFFF Memory pace Memory device addre KB 256 KB 256 KB 2 3 C C C addre(7..) addre(8) addre(9) y y y 2 y KB C 5 Itructor: aiel Llamocca

16 LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 FLIP FLOPS: PRACTICAL ASPCTS Reducig rate of chage of a ychroou circuit: Here, e ue FSM a a example of a ychroou circuit. But e ca apply thi techique to ay ychroou circuit. We uually ould like to reduce the rate at hich FSM traitio occur. A traightforard optio i to reduce the frequecy of the iput. But thi i a very complicated problem he a high preciio i required. Alteratively, e ca reduce the rate at hich FSM traitio occur by icludig a eable igal i our FSM: thi mea icludig a eable to every flip flop i the FSM. For ay FSM traitio to occur, the eable igal ha to be. The e aert the eable igal oly he e eed it. The effect i the ame a reducig the frequecy of the iput. The figure belo depict a couter modulo-n (from to N-) coected to a comparator that geerate a pule (output igal ) of oe period every time e hit the cout N-. The umber of bit the couter i give by = 2 N. The effect i the ame a reducig the frequecy of the FSM to f N, here f i the frequecy of the. Iput FSM Output comparator couter to N- =N-? xample: Timig diagram of a modulo- couter. Notice that i oly aerted he the cout reache. Thi igal cotrol the eable of a FSM, o that the FSM traitio oly occur every cycle, thereby havig the ame effect a reducig the frequecy by. clk We ca apply the ame techique ot oly to FSM, but alo to ay equetial circuit. Thi ay, e ca reduce the rate of ay equetial circuit (e.g. aother couter) by icludig a eable igal of every flip flop i the circuit. Flip flop timig parameter: Propagatio elay. Setup Time: Iterval of time before the edge here the data mut be held table. Hold Time: Iterval of time after the here the data mut be held table. If etup time or hold time are violated, the output may become upredictable, or eve ore it might eter ito metatability. clk clk retricted regio 6 Itructor: aiel Llamocca

Unit 1 - Digital System Design

Unit 1 - Digital System Design LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare esign Winter 8 IGITAL SYSTM MOL FSM (CONTROL) + ATAPATH CIRCUIT Unit - igital System esign ATAPATH CIRCUIT Inputs clock FINIT

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Digital Logic and Microprocessor Design Winter 2015.

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Digital Logic and Microprocessor Design Winter 2015. LCTRICAL AND COMPUTR NGINRING DPARTMNT, OAKLAND UNIVRSITY C-378: Digital Logic and Microproceor Deign Winter 5 Note - Unit 7 INTRODUCTION TO DIGITAL SYSTM DSIGN DIGITAL SYSTM MODL FSM + Datapath Circuit:

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 7 DATAPATH CIRCUIT

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 7 DATAPATH CIRCUIT LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 Note - Unit 7 INTRODUCTION TO DIGITL SYSTM DSIGN DIGITL SYSTM MODL FSM + Datapath Circuit: DTPTH CIRCUIT Input reetn

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Winter Notes - Unit 7 DATAPATH CIRCUIT

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Winter Notes - Unit 7 DATAPATH CIRCUIT Note - Unit 7 INTRODUCTION TO DIGITL SYSTM DSIGN DIGITL SYSTM MODL FSM + Datapath Circuit: DTPTH CIRCUIT Input FINIT STT MCHIN CONTROL CIRCUIT Output XMPL: CR LOT COUNTR photo receptor If = No light received

More information

Assignment 1 - Solutions. ECSE 420 Parallel Computing Fall November 2, 2014

Assignment 1 - Solutions. ECSE 420 Parallel Computing Fall November 2, 2014 Aigmet - Solutio ECSE 420 Parallel Computig Fall 204 ovember 2, 204. (%) Decribe briefly the followig term, expoe their caue, ad work-aroud the idutry ha udertake to overcome their coequece: (i) Memory

More information

State space systems analysis

State space systems analysis State pace ytem aalyi Repreetatio of a ytem i tate-pace (tate-pace model of a ytem To itroduce the tate pace formalim let u tart with a eample i which the ytem i dicuio i a imple electrical circuit with

More information

Isolated Word Recogniser

Isolated Word Recogniser Lecture 5 Iolated Word Recogitio Hidde Markov Model of peech State traitio ad aligmet probabilitie Searchig all poible aligmet Dyamic Programmig Viterbi Aligmet Iolated Word Recogitio 8. Iolated Word Recogier

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

REVIEW OF SIMPLE LINEAR REGRESSION SIMPLE LINEAR REGRESSION

REVIEW OF SIMPLE LINEAR REGRESSION SIMPLE LINEAR REGRESSION REVIEW OF SIMPLE LINEAR REGRESSION SIMPLE LINEAR REGRESSION I liear regreio, we coider the frequecy ditributio of oe variable (Y) at each of everal level of a ecod variable (X). Y i kow a the depedet variable.

More information

Statistics and Chemical Measurements: Quantifying Uncertainty. Normal or Gaussian Distribution The Bell Curve

Statistics and Chemical Measurements: Quantifying Uncertainty. Normal or Gaussian Distribution The Bell Curve Statitic ad Chemical Meauremet: Quatifyig Ucertaity The bottom lie: Do we trut our reult? Should we (or ayoe ele)? Why? What i Quality Aurace? What i Quality Cotrol? Normal or Gauia Ditributio The Bell

More information

Solutions - Final Exam (Online Section) (Due Date: December 11th by 10:00 am) Clarity is very important! Show your procedure!

Solutions - Final Exam (Online Section) (Due Date: December 11th by 10:00 am) Clarity is very important! Show your procedure! DPARTMNT OF LCTRICAL AND COMPUTR NGINRING, TH UNIVRSITY OF NW MXICO C-238L: Computer Logic Deign Fall 23 Solution - Final am (Online Section) (Due Date: December th by : am) Clarity i very important! Show

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

Erick L. Oberstar Fall 2001 Project: Sidelobe Canceller & GSC 1. Advanced Digital Signal Processing Sidelobe Canceller (Beam Former)

Erick L. Oberstar Fall 2001 Project: Sidelobe Canceller & GSC 1. Advanced Digital Signal Processing Sidelobe Canceller (Beam Former) Erick L. Obertar Fall 001 Project: Sidelobe Caceller & GSC 1 Advaced Digital Sigal Proceig Sidelobe Caceller (Beam Former) Erick L. Obertar 001 Erick L. Obertar Fall 001 Project: Sidelobe Caceller & GSC

More information

Statistical Inference Procedures

Statistical Inference Procedures Statitical Iferece Procedure Cofidece Iterval Hypothei Tet Statitical iferece produce awer to pecific quetio about the populatio of iteret baed o the iformatio i a ample. Iferece procedure mut iclude a

More information

Comments on Discussion Sheet 18 and Worksheet 18 ( ) An Introduction to Hypothesis Testing

Comments on Discussion Sheet 18 and Worksheet 18 ( ) An Introduction to Hypothesis Testing Commet o Dicuio Sheet 18 ad Workheet 18 ( 9.5-9.7) A Itroductio to Hypothei Tetig Dicuio Sheet 18 A Itroductio to Hypothei Tetig We have tudied cofidece iterval for a while ow. Thee are method that allow

More information

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect.

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect. 9- Write D data Load eable A address A select B address B select Load R 2 2 Load Load R R2 UX 2 3 UX 2 3 2 3 Decoder D address 2 Costat i Destiatio select 28 Pearso Educatio, Ic.. orris ao & Charles R.

More information

x z Increasing the size of the sample increases the power (reduces the probability of a Type II error) when the significance level remains fixed.

x z Increasing the size of the sample increases the power (reduces the probability of a Type II error) when the significance level remains fixed. ] z-tet for the mea, μ If the P-value i a mall or maller tha a pecified value, the data are tatitically igificat at igificace level. Sigificace tet for the hypothei H 0: = 0 cocerig the ukow mea of a populatio

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

On the Signed Domination Number of the Cartesian Product of Two Directed Cycles

On the Signed Domination Number of the Cartesian Product of Two Directed Cycles Ope Joural of Dicrete Mathematic, 205, 5, 54-64 Publihed Olie July 205 i SciRe http://wwwcirporg/oural/odm http://dxdoiorg/0426/odm2055005 O the Siged Domiatio Number of the Carteia Product of Two Directed

More information

EECE 301 Signals & Systems Prof. Mark Fowler

EECE 301 Signals & Systems Prof. Mark Fowler EECE 30 Sigal & Sytem Prof. Mark Fowler Note Set #8 C-T Sytem: Laplace Traform Solvig Differetial Equatio Readig Aigmet: Sectio 6.4 of Kame ad Heck / Coure Flow Diagram The arrow here how coceptual flow

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING FACTOR

SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING FACTOR POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 76 Electrical Egieerig 203 Zeo ULMAN* Macie CZYŻAK* Robert SMYK* SCALING OF NUMBERS IN RESIDUE ARITHMETIC WITH THE FLEXIBLE SELECTION OF SCALING

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

100(1 α)% confidence interval: ( x z ( sample size needed to construct a 100(1 α)% confidence interval with a margin of error of w:

100(1 α)% confidence interval: ( x z ( sample size needed to construct a 100(1 α)% confidence interval with a margin of error of w: Stat 400, ectio 7. Large Sample Cofidece Iterval ote by Tim Pilachowki a Large-Sample Two-ided Cofidece Iterval for a Populatio Mea ectio 7.1 redux The poit etimate for a populatio mea µ will be a ample

More information

S T A T R a c h e l L. W e b b, P o r t l a n d S t a t e U n i v e r s i t y P a g e 1. = Population Variance

S T A T R a c h e l L. W e b b, P o r t l a n d S t a t e U n i v e r s i t y P a g e 1. = Population Variance S T A T 4 - R a c h e l L. W e b b, P o r t l a d S t a t e U i v e r i t y P a g e Commo Symbol = Sample Size x = Sample Mea = Sample Stadard Deviatio = Sample Variace pˆ = Sample Proportio r = Sample

More information

SIMPLIFIED ADDRESSING SCHEME FOR MIXED RADIX FFT ALGORITHMS

SIMPLIFIED ADDRESSING SCHEME FOR MIXED RADIX FFT ALGORITHMS IEEE Iteratioal Coferece o Acoutic, Speech ad Sigal Proceig (ICASSP) SIMPLIFIED ADDRESSING SCHEME FOR MIXED RADIX FFT ALGORITHMS Cuimei Ma, Yizhuag Xie, He Che, Yi Deg, We Ya Beijig Ititute of Techology,

More information

SOLUTION: The 95% confidence interval for the population mean µ is x ± t 0.025; 49

SOLUTION: The 95% confidence interval for the population mean µ is x ± t 0.025; 49 C22.0103 Sprig 2011 Homework 7 olutio 1. Baed o a ample of 50 x-value havig mea 35.36 ad tadard deviatio 4.26, fid a 95% cofidece iterval for the populatio mea. SOLUTION: The 95% cofidece iterval for the

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECE60: etwork Theory Broadbad Circuit Deig Fall 04 Lecture 3: PLL Aalyi Sam Palermo Aalog & Mixed-Sigal Ceter Texa A&M Uiverity Ageda & Readig PLL Overview & Applicatio PLL Liear Model Phae & Frequecy

More information

COMPARISONS INVOLVING TWO SAMPLE MEANS. Two-tail tests have these types of hypotheses: H A : 1 2

COMPARISONS INVOLVING TWO SAMPLE MEANS. Two-tail tests have these types of hypotheses: H A : 1 2 Tetig Hypothee COMPARISONS INVOLVING TWO SAMPLE MEANS Two type of hypothee:. H o : Null Hypothei - hypothei of o differece. or 0. H A : Alterate Hypothei hypothei of differece. or 0 Two-tail v. Oe-tail

More information

System Control. Lesson #19a. BME 333 Biomedical Signals and Systems - J.Schesser

System Control. Lesson #19a. BME 333 Biomedical Signals and Systems - J.Schesser Sytem Cotrol Leo #9a 76 Sytem Cotrol Baic roblem Say you have a ytem which you ca ot alter but it repoe i ot optimal Example Motor cotrol for exokeleto Robotic cotrol roblem that ca occur Utable Traiet

More information

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

ELEC 372 LECTURE NOTES, WEEK 4 Dr. Amir G. Aghdam Concordia University

ELEC 372 LECTURE NOTES, WEEK 4 Dr. Amir G. Aghdam Concordia University ELEC 37 LECTURE NOTES, WEE 4 Dr Amir G Aghdam Cocordia Uiverity Part of thee ote are adapted from the material i the followig referece: Moder Cotrol Sytem by Richard C Dorf ad Robert H Bihop, Pretice Hall

More information

Lecture 30: Frequency Response of Second-Order Systems

Lecture 30: Frequency Response of Second-Order Systems Lecture 3: Frequecy Repoe of Secod-Order Sytem UHTXHQF\ 5HVSRQVH RI 6HFRQGUGHU 6\VWHPV A geeral ecod-order ytem ha a trafer fuctio of the form b + b + b H (. (9.4 a + a + a It ca be table, utable, caual

More information

Last time: Ground rules for filtering and control system design

Last time: Ground rules for filtering and control system design 6.3 Stochatic Etimatio ad Cotrol, Fall 004 Lecture 7 Lat time: Groud rule for filterig ad cotrol ytem deig Gral ytem Sytem parameter are cotaied i w( t ad w ( t. Deired output i grated by takig the igal

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Fig. 7-6 Single Bus versus Dedicated Multiplexers

Fig. 7-6 Single Bus versus Dedicated Multiplexers 7- Select SSS2 LLL2 LLL2 S 2 to MUX R R Select S 2 to MUX R S S 3 to MUX 2 us R S 2 to MUX R2 R2 (a) Dedicated multiplexers (b) Sigle us Fig. 7-6 Sigle us versus Dedicated Multiplexers 2 Pretice Hall,

More information

Number Representation

Number Representation Number Represetatio 1 Number System :: The Basics We are accustomed to usig the so-called decimal umber system Te digits :: 0,1,2,3,4,5,6,7,8,9 Every digit positio has a weight which is a power of 10 Base

More information

Fig. 1: Streamline coordinates

Fig. 1: Streamline coordinates 1 Equatio of Motio i Streamlie Coordiate Ai A. Soi, MIT 2.25 Advaced Fluid Mechaic Euler equatio expree the relatiohip betwee the velocity ad the preure field i ivicid flow. Writte i term of treamlie coordiate,

More information

COMPARISON OF FPGA IMPLEMENTATION OF THE MOD M REDUCTION

COMPARISON OF FPGA IMPLEMENTATION OF THE MOD M REDUCTION Lati America Applied Research 37:93-97 (2007) COMPARISON OF FPGA IMPLEMENTATION OF THE MOD M REDUCTION J-P. DESCHAMPS ad G. SUTTER Escola Tècica Superior d Egiyeria, Uiversitat Rovira i Virgili, Tarragoa,

More information

LECTURE 13 SIMULTANEOUS EQUATIONS

LECTURE 13 SIMULTANEOUS EQUATIONS NOVEMBER 5, 26 Demad-upply ytem LETURE 3 SIMULTNEOUS EQUTIONS I thi lecture, we dicu edogeeity problem that arie due to imultaeity, i.e. the left-had ide variable ad ome of the right-had ide variable are

More information

TI-83/84 Calculator Instructions for Math Elementary Statistics

TI-83/84 Calculator Instructions for Math Elementary Statistics TI-83/84 Calculator Itructio for Math 34- Elemetary Statitic. Eterig Data: Data oit are tored i Lit o the TI-83/84. If you have't ued the calculator before, you may wat to erae everythig that wa there.

More information

Production Scheduling with Genetic Algorithm

Production Scheduling with Genetic Algorithm Productio Schedulig with Geetic Algorithm Áko Gubá 1, Mikló Gubá 2 1 college profeor, head of departmet, Departmet of Iformatio Sciece ad Techology, Budapet Buie School 2 college profeor, head of ititute,

More information

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation Overview EEC 5 Compoets ad Desig Techiques for Digital ystems Lec 5 dditio, ubtractio, ad Negative Numbers David Culler Electrical Egieerig ad Computer cieces Uiversity of Califoria, erkeley Recall basic

More information

Parallel Vector Algorithms David A. Padua

Parallel Vector Algorithms David A. Padua Parallel Vector Algorithms 1 of 32 Itroductio Next, we study several algorithms where parallelism ca be easily expressed i terms of array operatios. We will use Fortra 90 to represet these algorithms.

More information

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson Logic Deign CS 270: Mathematical Foundation of Computer Science Jeremy Johnon Logic Deign Objective: To provide an important application of propoitional logic to the deign and implification of logic circuit.

More information

20. CONFIDENCE INTERVALS FOR THE MEAN, UNKNOWN VARIANCE

20. CONFIDENCE INTERVALS FOR THE MEAN, UNKNOWN VARIANCE 20. CONFIDENCE INTERVALS FOR THE MEAN, UNKNOWN VARIANCE If the populatio tadard deviatio σ i ukow, a it uually will be i practice, we will have to etimate it by the ample tadard deviatio. Sice σ i ukow,

More information

IEEE TRANSACTIONS ON IMAGE PROCESSING, VOL. XXX, NO. X, XXXX XXXX 1

IEEE TRANSACTIONS ON IMAGE PROCESSING, VOL. XXX, NO. X, XXXX XXXX 1 I TRASACTIOS O IMAG PROCSSIG, VOL. XXX, O. X, XXXX XXXX Fat ad Scalable Computatio of the Forward ad Ivere Dicrete Periodic Rado Traform Cear Carraza, Studet Member, I, Daiel Llamocca, Seior Member, I,

More information

ECE 422 Power System Operations & Planning 6 Small Signal Stability. Spring 2015 Instructor: Kai Sun

ECE 422 Power System Operations & Planning 6 Small Signal Stability. Spring 2015 Instructor: Kai Sun ECE 4 Power Sytem Operatio & Plaig 6 Small Sigal Stability Sprig 15 Itructor: Kai Su 1 Referece Saadat Chapter 11.4 EPRI Tutorial Chapter 8 Power Ocillatio Kudur Chapter 1 Power Ocillatio The power ytem

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.265/15.070J Fall 2013 Lecture 16 11/04/2013. Ito integral. Properties

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.265/15.070J Fall 2013 Lecture 16 11/04/2013. Ito integral. Properties MASSACHUSES INSIUE OF ECHNOLOGY 6.65/15.7J Fall 13 Lecture 16 11/4/13 Ito itegral. Propertie Cotet. 1. Defiitio of Ito itegral. Propertie of Ito itegral 1 Ito itegral. Exitece We cotiue with the cotructio

More information

u t u 0 ( 7) Intuitively, the maximum principles can be explained by the following observation. Recall

u t u 0 ( 7) Intuitively, the maximum principles can be explained by the following observation. Recall Oct. Heat Equatio M aximum priciple I thi lecture we will dicu the maximum priciple ad uiquee of olutio for the heat equatio.. Maximum priciple. The heat equatio alo ejoy maximum priciple a the Laplace

More information

Unit 4: Polynomial and Rational Functions

Unit 4: Polynomial and Rational Functions 48 Uit 4: Polyomial ad Ratioal Fuctios Polyomial Fuctios A polyomial fuctio y px ( ) is a fuctio of the form p( x) ax + a x + a x +... + ax + ax+ a 1 1 1 0 where a, a 1,..., a, a1, a0are real costats ad

More information

1 Summary: Binary and Logic

1 Summary: Binary and Logic 1 Summary: Biary ad Logic Biary Usiged Represetatio : each 1-bit is a power of two, the right-most is for 2 0 : 0110101 2 = 2 5 + 2 4 + 2 2 + 2 0 = 32 + 16 + 4 + 1 = 53 10 Usiged Rage o bits is [0...2

More information

Last time: Completed solution to the optimum linear filter in real-time operation

Last time: Completed solution to the optimum linear filter in real-time operation 6.3 tochatic Etimatio ad Cotrol, Fall 4 ecture at time: Completed olutio to the oimum liear filter i real-time operatio emi-free cofiguratio: t D( p) F( p) i( p) dte dp e π F( ) F( ) ( ) F( p) ( p) 4444443

More information

VIII. Interval Estimation A. A Few Important Definitions (Including Some Reminders)

VIII. Interval Estimation A. A Few Important Definitions (Including Some Reminders) VIII. Iterval Etimatio A. A Few Importat Defiitio (Icludig Some Remider) 1. Poit Etimate - a igle umerical value ued a a etimate of a parameter.. Poit Etimator - the ample tatitic that provide the poit

More information

On The Computation Of Weighted Shapley Values For Cooperative TU Games

On The Computation Of Weighted Shapley Values For Cooperative TU Games O he Computatio Of Weighted hapley Value For Cooperative U Game Iriel Draga echical Report 009-0 http://www.uta.edu/math/preprit/ Computatio of Weighted hapley Value O HE COMPUAIO OF WEIGHED HAPLEY VALUE

More information

1 Generating functions for balls in boxes

1 Generating functions for balls in boxes Math 566 Fall 05 Some otes o geeratig fuctios Give a sequece a 0, a, a,..., a,..., a geeratig fuctio some way of represetig the sequece as a fuctio. There are may ways to do this, with the most commo ways

More information

M227 Chapter 9 Section 1 Testing Two Parameters: Means, Variances, Proportions

M227 Chapter 9 Section 1 Testing Two Parameters: Means, Variances, Proportions M7 Chapter 9 Sectio 1 OBJECTIVES Tet two mea with idepedet ample whe populatio variace are kow. Tet two variace with idepedet ample. Tet two mea with idepedet ample whe populatio variace are equal Tet

More information

THE CONCEPT OF THE ROOT LOCUS. H(s) THE CONCEPT OF THE ROOT LOCUS

THE CONCEPT OF THE ROOT LOCUS. H(s) THE CONCEPT OF THE ROOT LOCUS So far i the tudie of cotrol yte the role of the characteritic equatio polyoial i deteriig the behavior of the yte ha bee highlighted. The root of that polyoial are the pole of the cotrol yte, ad their

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

CS 332: Algorithms. Linear-Time Sorting. Order statistics. Slide credit: David Luebke (Virginia)

CS 332: Algorithms. Linear-Time Sorting. Order statistics. Slide credit: David Luebke (Virginia) 1 CS 332: Algorithms Liear-Time Sortig. Order statistics. Slide credit: David Luebke (Virgiia) Quicksort: Partitio I Words Partitio(A, p, r): Select a elemet to act as the pivot (which?) Grow two regios,

More information

Performance-Based Plastic Design (PBPD) Procedure

Performance-Based Plastic Design (PBPD) Procedure Performace-Baed Platic Deig (PBPD) Procedure 3. Geeral A outlie of the tep-by-tep, Performace-Baed Platic Deig (PBPD) procedure follow, with detail to be dicued i ubequet ectio i thi chapter ad theoretical

More information

Tables and Formulas for Sullivan, Fundamentals of Statistics, 2e Pearson Education, Inc.

Tables and Formulas for Sullivan, Fundamentals of Statistics, 2e Pearson Education, Inc. Table ad Formula for Sulliva, Fudametal of Statitic, e. 008 Pearo Educatio, Ic. CHAPTER Orgaizig ad Summarizig Data Relative frequecy frequecy um of all frequecie Cla midpoit: The um of coecutive lower

More information

8.6 Order-Recursive LS s[n]

8.6 Order-Recursive LS s[n] 8.6 Order-Recurive LS [] Motivate ti idea wit Curve Fittig Give data: 0,,,..., - [0], [],..., [-] Wat to fit a polyomial to data.., but wic oe i te rigt model?! Cotat! Quadratic! Liear! Cubic, Etc. ry

More information

x c the remainder is Pc ().

x c the remainder is Pc (). Algebra, Polyomial ad Ratioal Fuctios Page 1 K.Paulk Notes Chapter 3, Sectio 3.1 to 3.4 Summary Sectio Theorem Notes 3.1 Zeros of a Fuctio Set the fuctio to zero ad solve for x. The fuctio is zero at these

More information

Capacity-Approaching Signal Constellations for the Additive Exponential Noise Channel

Capacity-Approaching Signal Constellations for the Additive Exponential Noise Channel Capacity-Approachig Sigal Cotellatio for the Additive Expoetial Noie Chael Stéphae Y. Le Goff School of Electrical, Electroic ad Computer Egieerig Newcatle Uiverity, Uited Kigdom Abtract We preet a ew

More information

Tools Hypothesis Tests

Tools Hypothesis Tests Tool Hypothei Tet The Tool meu provide acce to a Hypothei Tet procedure that calculate cofidece iterval ad perform hypothei tet for mea, variace, rate ad proportio. It i cotrolled by the dialog box how

More information

Hidden Markov Model Parameters

Hidden Markov Model Parameters .PPT 5/04/00 Lecture 6 HMM Traiig Traiig Hidde Markov Model Iitial model etimate Viterbi traiig Baum-Welch traiig 8.7.PPT 5/04/00 8.8 Hidde Markov Model Parameter c c c 3 a a a 3 t t t 3 c a t A Hidde

More information

DISCRETE MELLIN CONVOLUTION AND ITS EXTENSIONS, PERRON FORMULA AND EXPLICIT FORMULAE

DISCRETE MELLIN CONVOLUTION AND ITS EXTENSIONS, PERRON FORMULA AND EXPLICIT FORMULAE DISCRETE MELLIN CONVOLUTION AND ITS EXTENSIONS, PERRON FORMULA AND EXPLICIT FORMULAE Joe Javier Garcia Moreta Graduate tudet of Phyic at the UPV/EHU (Uiverity of Baque coutry) I Solid State Phyic Addre:

More information

Introduction to Control Systems

Introduction to Control Systems Itroductio to Cotrol Sytem CLASSIFICATION OF MATHEMATICAL MODELS Icreaig Eae of Aalyi Static Icreaig Realim Dyamic Determiitic Stochatic Lumped Parameter Ditributed Parameter Liear Noliear Cotat Coefficiet

More information

Solutions - Final Exam (April 19 7:00 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Final Exam (April 19 7:00 pm) Presentation and clarity are very important! Show your procedure! ILUT OLUT Solution - Final am (ril 9 th @ 7: m) Preentation and clarit are ver imortant! Sho our rocedure! PROBLM (2 PTS) Comlete the timing diagram of the folloing circuit. G = G 3 G 2 G G =, = 3 2 2

More information

11. FINITE FIELDS. Example 1: The following tables define addition and multiplication for a field of order 4.

11. FINITE FIELDS. Example 1: The following tables define addition and multiplication for a field of order 4. 11. FINITE FIELDS 11.1. A Field With 4 Elemets Probably the oly fiite fields which you ll kow about at this stage are the fields of itegers modulo a prime p, deoted by Z p. But there are others. Now although

More information

IntroEcono. Discrete RV. Continuous RV s

IntroEcono. Discrete RV. Continuous RV s ItroEcoo Aoc. Prof. Poga Porchaiwiekul, Ph.D... ก ก e-mail: Poga.P@chula.ac.th Homepage: http://pioeer.chula.ac.th/~ppoga (c) Poga Porchaiwiekul, Chulalogkor Uiverity Quatitative, e.g., icome, raifall

More information

Brief Review of Linear System Theory

Brief Review of Linear System Theory Brief Review of Liear Sytem heory he followig iformatio i typically covered i a coure o liear ytem theory. At ISU, EE 577 i oe uch coure ad i highly recommeded for power ytem egieerig tudet. We have developed

More information

1. (a) If u (I : R J), there exists c 0 in R such that for all q 0, cu q (I : R J) [q] I [q] : R J [q]. Hence, if j J, for all q 0, j q (cu q ) =

1. (a) If u (I : R J), there exists c 0 in R such that for all q 0, cu q (I : R J) [q] I [q] : R J [q]. Hence, if j J, for all q 0, j q (cu q ) = Math 615, Witer 2016 Problem Set #5 Solutio 1. (a) If u (I : R J), there exit c 0 i R uch that for all q 0, cu q (I : R J) [q] I [q] : R J [q]. Hece, if j J, for all q 0, j q (cu q ) = c(ju) q I [q], o

More information

TESTS OF SIGNIFICANCE

TESTS OF SIGNIFICANCE TESTS OF SIGNIFICANCE Seema Jaggi I.A.S.R.I., Library Aveue, New Delhi eema@iari.re.i I applied ivetigatio, oe i ofte itereted i comparig ome characteritic (uch a the mea, the variace or a meaure of aociatio

More information

10-716: Advanced Machine Learning Spring Lecture 13: March 5

10-716: Advanced Machine Learning Spring Lecture 13: March 5 10-716: Advaced Machie Learig Sprig 019 Lecture 13: March 5 Lecturer: Pradeep Ravikumar Scribe: Charvi Ratogi, Hele Zhou, Nicholay opi Note: Lae template courtey of UC Berkeley EECS dept. Diclaimer: hee

More information

TEACHER CERTIFICATION STUDY GUIDE

TEACHER CERTIFICATION STUDY GUIDE COMPETENCY 1. ALGEBRA SKILL 1.1 1.1a. ALGEBRAIC STRUCTURES Kow why the real ad complex umbers are each a field, ad that particular rigs are ot fields (e.g., itegers, polyomial rigs, matrix rigs) Algebra

More information

High-Speed Serial Interface Circuits and Systems. Lect. 4 Phase-Locked Loop (PLL) Type 1 (Chap. 8 in Razavi)

High-Speed Serial Interface Circuits and Systems. Lect. 4 Phase-Locked Loop (PLL) Type 1 (Chap. 8 in Razavi) High-Speed Serial Iterface Circuit ad Sytem Lect. 4 Phae-Locked Loop (PLL) Type 1 (Chap. 8 i Razavi) PLL Phae lockig loop A (egative-feedback) cotrol ytem that geerate a output igal whoe phae (ad frequecy)

More information

Design and Analysis of Algorithms

Design and Analysis of Algorithms Desig ad Aalysis of Algorithms Probabilistic aalysis ad Radomized algorithms Referece: CLRS Chapter 5 Topics: Hirig problem Idicatio radom variables Radomized algorithms Huo Hogwei 1 The hirig problem

More information

Chapter 9. Key Ideas Hypothesis Test (Two Populations)

Chapter 9. Key Ideas Hypothesis Test (Two Populations) Chapter 9 Key Idea Hypothei Tet (Two Populatio) Sectio 9-: Overview I Chapter 8, dicuio cetered aroud hypothei tet for the proportio, mea, ad tadard deviatio/variace of a igle populatio. However, ofte

More information

In number theory we will generally be working with integers, though occasionally fractions and irrationals will come into play.

In number theory we will generally be working with integers, though occasionally fractions and irrationals will come into play. Number Theory Math 5840 otes. Sectio 1: Axioms. I umber theory we will geerally be workig with itegers, though occasioally fractios ad irratioals will come ito play. Notatio: Z deotes the set of all itegers

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

Vector Spaces and Vector Subspaces. Remarks. Euclidean Space

Vector Spaces and Vector Subspaces. Remarks. Euclidean Space Vector Spaces ad Vector Subspaces Remarks Let be a iteger. A -dimesioal vector is a colum of umbers eclosed i brackets. The umbers are called the compoets of the vector. u u u u Euclidea Space I Euclidea

More information

EULER-MACLAURIN SUM FORMULA AND ITS GENERALIZATIONS AND APPLICATIONS

EULER-MACLAURIN SUM FORMULA AND ITS GENERALIZATIONS AND APPLICATIONS EULER-MACLAURI SUM FORMULA AD ITS GEERALIZATIOS AD APPLICATIOS Joe Javier Garcia Moreta Graduate tudet of Phyic at the UPV/EHU (Uiverity of Baque coutry) I Solid State Phyic Addre: Practicate Ada y Grijalba

More information

Section 5.1 The Basics of Counting

Section 5.1 The Basics of Counting 1 Sectio 5.1 The Basics of Coutig Combiatorics, the study of arragemets of objects, is a importat part of discrete mathematics. I this chapter, we will lear basic techiques of coutig which has a lot of

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Lecture 8: Period Finding: Simon s Problem over Z N

Lecture 8: Period Finding: Simon s Problem over Z N Quantum Computation (CMU 8-859BB, Fall 205) Lecture 8: Period Finding: Simon Problem over Z October 5, 205 Lecturer: John Wright Scribe: icola Rech Problem A mentioned previouly, period finding i a rephraing

More information

Weak formulation and Lagrange equations of motion

Weak formulation and Lagrange equations of motion Chapter 4 Weak formulatio ad Lagrage equatio of motio A mot commo approach to tudy tructural dyamic i the ue of the Lagrage equatio of motio. Thee are obtaied i thi chapter tartig from the Cauchy equatio

More information

Société de Calcul Mathématique, S. A. Algorithmes et Optimisation

Société de Calcul Mathématique, S. A. Algorithmes et Optimisation Société de Calcul Mathématique S A Algorithme et Optimiatio Radom amplig of proportio Berard Beauzamy Jue 2008 From time to time we fid a problem i which we do ot deal with value but with proportio For

More information

Channel coding, linear block codes, Hamming and cyclic codes Lecture - 8

Channel coding, linear block codes, Hamming and cyclic codes Lecture - 8 Digital Commuicatio Chael codig, liear block codes, Hammig ad cyclic codes Lecture - 8 Ir. Muhamad Asial, MSc., PhD Ceter for Iformatio ad Commuicatio Egieerig Research (CICER) Electrical Egieerig Departmet

More information

Analysis of Algorithms. Introduction. Contents

Analysis of Algorithms. Introduction. Contents Itroductio The focus of this module is mathematical aspects of algorithms. Our mai focus is aalysis of algorithms, which meas evaluatig efficiecy of algorithms by aalytical ad mathematical methods. We

More information

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations ECE-S352 Itroductio to Digital Sigal Processig Lecture 3A Direct Solutio of Differece Equatios Discrete Time Systems Described by Differece Equatios Uit impulse (sample) respose h() of a DT system allows

More information

CHAPTER 6. Confidence Intervals. 6.1 (a) y = 1269; s = 145; n = 8. The standard error of the mean is = s n = = 51.3 ng/gm.

CHAPTER 6. Confidence Intervals. 6.1 (a) y = 1269; s = 145; n = 8. The standard error of the mean is = s n = = 51.3 ng/gm. } CHAPTER 6 Cofidece Iterval 6.1 (a) y = 1269; = 145; = 8. The tadard error of the mea i SE ȳ = = 145 8 = 51.3 g/gm. (b) y = 1269; = 145; = 30. The tadard error of the mea i ȳ = 145 = 26.5 g/gm. 30 6.2

More information

Queueing Theory (Part 3)

Queueing Theory (Part 3) Queueig Theory art 3 M/M/ Queueig Sytem with Variatio M/M/, M/M///K, M/M//// Queueig Theory- M/M/ Queueig Sytem We defie λ mea arrival rate mea ervice rate umber of erver > ρ λ / utilizatio ratio We require

More information

CHAPTER 5. Theory and Solution Using Matrix Techniques

CHAPTER 5. Theory and Solution Using Matrix Techniques A SERIES OF CLASS NOTES FOR 2005-2006 TO INTRODUCE LINEAR AND NONLINEAR PROBLEMS TO ENGINEERS, SCIENTISTS, AND APPLIED MATHEMATICIANS DE CLASS NOTES 3 A COLLECTION OF HANDOUTS ON SYSTEMS OF ORDINARY DIFFERENTIAL

More information

Injections, Surjections, and the Pigeonhole Principle

Injections, Surjections, and the Pigeonhole Principle Ijectios, Surjectios, ad the Pigeohole Priciple 1 (10 poits Here we will come up with a sloppy boud o the umber of parethesisestigs (a (5 poits Describe a ijectio from the set of possible ways to est pairs

More information

Model of Computation and Runtime Analysis

Model of Computation and Runtime Analysis Model of Computatio ad Rutime Aalysis Model of Computatio Model of Computatio Specifies Set of operatios Cost of operatios (ot ecessarily time) Examples Turig Machie Radom Access Machie (RAM) PRAM Map

More information

Reasons for Sampling. Forest Sampling. Scales of Measurement. Scales of Measurement. Sampling Error. Sampling - General Approach

Reasons for Sampling. Forest Sampling. Scales of Measurement. Scales of Measurement. Sampling Error. Sampling - General Approach Foret amplig Aver & Burkhart, Chpt. & Reao for amplig Do NOT have the time or moe to do a complete eumeratio Remember that the etimate of the populatio parameter baed o a ample are ot accurate, therefore

More information

CS / MCS 401 Homework 3 grader solutions

CS / MCS 401 Homework 3 grader solutions CS / MCS 401 Homework 3 grader solutios assigmet due July 6, 016 writte by Jāis Lazovskis maximum poits: 33 Some questios from CLRS. Questios marked with a asterisk were ot graded. 1 Use the defiitio of

More information

A Probabilistic Analysis of Quicksort

A Probabilistic Analysis of Quicksort A Probabilistic Aalysis of Quicsort You are assumed to be familiar with Quicsort. I each iteratio this sortig algorithm chooses a pivot ad the, by performig comparisios with the pivot, splits the remaider

More information