CARLETON UNIVERSITY Final rev EXAMINATION Fri, April 22, 2005, 14:00

Size: px
Start display at page:

Download "CARLETON UNIVERSITY Final rev EXAMINATION Fri, April 22, 2005, 14:00"

Transcription

1 LTON UNIVSITY Final rev MINTION Fri, pril 22, 25, 4: Name: Number: Signature: UTION: 3 HOUS No. of Students: 258 epartment Name & ourse Number: lectronics L 267, and ourse Instructor(s) T..ay and J. Knight UTHOIZ MMON TUN OFF cell phones and personal communications equipment and LV THM T TH FONT. Notes, books, any non-communicating calculator are allowed. Students MUST count the number of pages in this examination question paper before beginning to write, and report any discrepancy immediately to a proctor. This question paper has 9 pages. This examination paper May Not be taken from the examination room. In addition to this question paper, students require: an examination booklet yes no x may request a Scantron sheet yes no x Please answer on the examination paper. The correct answers should easily fit in the space given. You may ask for a booklet if you need it. % oolean a) Write the dual of f = + + f UL = ( + )( + )( + ) % b) iven f = + +, find f without overbars longer than one letter. Put overbars over each letter of the dual f = ( + )( + )( + ) c) onvert f = + + into product-of-sums (Π of Σ). Plot f on the map Plot the map of f which has s where the f map had s ircle the f map f = + Use emorgans eneralized Theorem f =( + )(( + ) d) (i) Simplify f = + + to use the minimum number of gates. (ii) Sketch the circuit. Map of f Map of f From the map of f, f = + 3% e) Simplify g = ( + )( + )( + ) Hint: oes g have any relation to f in parts a) and d)? g = f UL hence f SIMPLIFI-UL = g SIMPILFI g = ( + )( + ) page T..ay and J. Knight page of

2 arleton University lectronic ngineering L267 2 oolean a) Factor + Using the extended 2 rule (+)(+)(+) b) Factor = + + using + x = (simplification) = + using simplification again = ( + )( + ) using 2 4% c) omplete the factoring of (+)(+Z) + ( + Y) = [(+)+ ( + Y)][(+Z) + ( + Y)] using + = ( +)( + ) (2 law) = [ Y][ + Z + + Y] 4% d) raw a circuit to implement g = (+)(Y+) + ( + Y)( + ) Using only real gates i.e. NN, NO and NOT gates. The best solution needs only one NOT gate. The best solution is a bit harder than a solution with several NOT gates. % e) i) Simplify ( + )() = () = ii) Simplify + = + + Using emorgan = Using + = T..ay and J. Knight 3/3/9 page 2, of 9

3 arleton University lectronic ngineering L267 Name 6% 3 oolean a) g = Would take 5 gates and 6 letters if implemented as above. It is possible, but not obvious, to implement g with 8 letters. Find this implementation. However be careful how much time you spend for 6 marks. This is much simpler as a product of sums Plot g on one map. Plot g on the other. g= Use emorgan get g = ( + )( + )( + )( + ) 8 letters Map of g Map of g 8% 4 Mux Logic Show how to implement the function g using only MUs and inverters. Minimization of hardware is expected. g = + + g(=) = + g(=) = + = = using simplification rule T..ay and J. Knight 3/3/9 page 3, of 9

4 arleton University lectronic ngineering L267 7% 6% 5 Programmable Logic Program the PL to implement the logic defined by the equations shown below. The inverted lines are made thinner to help avoid using the wrong line. write each N term F H F = here = + (This is the only size of PL available to you.) F= = + H= + + Map of F H = Synchronous ircuits a) omplete the waveforms for F, F2, F3 and F4. Their initial values are all as shown. lock synch eset F F2 F3 F F2 F3=F2&F F4 lone one Map of Map of H synchronous reset: ll FF outputs are while is high; they stay until the next active clock edge. F3 = F2 F. It is not wait for a clock edge because it is not a flip-flop output. F just after the active clock edge is what was just before the clock edge. F2 just after the active clock edge is what F was just before the clock edge. F4 just after the active clock edge is what F3 was just before the clock edge. 3% b) For the state-graph below, with Z= initially: i)omplete the waveform for Z and ii) fill in the states on the line under Z. Synchronous raph x= x= x= z=x= z= LK Z Use arrows to indicate state durations. T..ay and J. Knight 3/3/9 page 4, of 9

5 arleton University lectronic ngineering L267 Name 3% c) For the state-graph below: (It is the same one as for part b) i)omplete the state table. ii) raw the complete circuit. Synchronous raph x= x= x= z=x= z= state S S + next state output x= x= z S x S + =x x lk z 8% 7 eduction (i) Find the equivalent states in the table below. (ii) Make a new state table with the minimum number of states.. evised Table = = Output Z = = Output Z F F H F H H & can merge & H can merge F F H H F H, F,,,F H, F, H,, F H, F, H,, H, H, H,,F,, F T..ay and J. Knight 3/3/9 page 5, of 9

6 arleton University lectronic ngineering L267 % 8 Synchronous raph raw the state graph for a machine to meet all the following requirements: Has one input, and one output Z. Z= in the cycle after the machine receives the complete sequence =, and Z= in the cycle after the machine receives the complete sequence =. The leftmost bit of is received first. Overlapped sequences are to be detected including a sequence overlapping itself Z = except for the single clock period after the appropriate sequence is completed. The machine starts at the ST state. raw only the state graph. Use the states provided below and do not change anything already given eset Z= Z= Z= Z= Z= 5 Z= Z= Z= Z= T..ay and J. Knight 3/3/9 page 6, of 9

7 arleton University lectronic ngineering L267 Name 9 Hazards a) raw a circuit which will implement the function + + and is free of all static and dynamic hazards. To mask the hazards, add + +. on t forget the hazard in the wraparound. Sum of product circuits have no static- or dynamic hazards if they are free of static- hazards. The sum of products circuit would implement F= However F = + found by circling the zeros If = no hazards possible If = If = no hazards possible no hazards possible If F has no has hazards, inverting it does not add them F 5% b) five-variable function, F, is shown by the implicants (N terms) drawn on the Karnaugh map below. List the implicants (N terms) that are required to mask the static- hazards in F. = = List of implicants (N terms): add these implicants 5% c) -latch, is designed as an asynchronous circuit. It has a potential problem which was not admitted in the original description. Its circuit is shown below. i) What is wrong with the design? It has a static- hazard in when,=,. ii) raw a circuit without the problem. q + = + q + q + = + + q + 3% d) Was the controller circuit in the midi lab designed to be free of hazards? No If (yes) describe in two lines what you did. Hazards are not important in synchronous circuits. They die out before the next clock edge, and thus are never captured by the flip flops. If (no) describe in two lines why it was not done. T..ay and J. Knight 3/3/9 page 7, of 9

8 arleton University lectronic ngineering L267 o either a) or b) but not both. a) synchronous ssignment. For the following state table, revise the table and select a critical-race-free state assignment. o not add any states or change the stable states. Keep as state. o not increase the number of rows in the table. -- means don t care. Y= Y= Y= Y= Try The only bad transition is -> but that is a triple jump. Try Write your revised state table below. Write the bit pattern for the state assignment in the leftmost, the, column after the =. Fill in the columns using letters only. lso write your state assignment in the table/map on the right. Y= Y= Y= = -- = -- = -- = = -- Y= an make go to or in 2 jumps. Looks OK. Write your state assignment here One of many solutions Put bit patterns here Only put letters here T..ay and J. Knight 3/3/9 page 8, of 9

9 arleton University lectronic ngineering L267 Name b) Synchronous ssignment. (If you do this question, skip the previous one) (i) Find a state assignment for the machine below with logic minimization as the objective. (ii) What assignment should the reset state have? Why? We are looking for two concepts. Logic size will stay the same if on state is picked arbitrarily. The reset signal naturally takes flip flops to state, so that is easy to use. 2 (iii) Show how you used the rules to get the other assignments. (iv) Fill in the two-column assigned next-state table in K-map order. Table = = Output = = F F F (iii) Use of rules ule ; Parents of same state for same input (,) (,,) ule 2; Sisters should cuddle (,F)(,) 2 (,)(F,)(,)(,) F In the state table below: In the state columns put both the letter and the bits of the state assignment. In the next state columns put only the letters. Table in K-Map Order 2 = = = = = F = = = = F = F = = note reversed columns Thick lines are rule Then lines are rule 2. Only F- is not close ule 3; Outputs (F)(F) will be ignored One state assignment F otate and flip this to fit in the state assignment map. 2 F d Write your final state assignment here = = Scratch Table = = = = = = = = = = Scratch 2 2 d d T..ay and J. Knight 3/3/9 page 9, of 9

CARLETON UNIVERSITY Final EXAMINATION April 16, 2003

CARLETON UNIVERSITY Final EXAMINATION April 16, 2003 LTN UNIVSIT Final MINTIN pril 6, 23 Name: Number: Signature: UTIN: HUS No. of Student: epartment Name & ourse Number: ourse Instructor(s) UTHI MMN 3 4 lectronic ngineering L267, and Profs. N. Tait and

More information

CARLETON UNIVERSITY Final EXAMINATION April 13, 2009: 14:00

CARLETON UNIVERSITY Final EXAMINATION April 13, 2009: 14:00 RLETON UNIVERSITY Final EXMINTION pril 3, 29: 4: Name: Number: Signature: URTION: 3 HOURS No. of Students: 250 epartment Name & ourse Number: Electronics ELE 2607, and ourse Instructor(s) J. W. Rogers

More information

Digital Circuit Engineering

Digital Circuit Engineering Digital Circuit Engineering 2nd Distributive ( + A)( + B) = + AB Circuits that work in a sequence of steps Absorption + A = + A A+= THESE CICUITS NEED STOAGE TO EMEMBE WHEE THEY AE STOAGE D MU G M MU S

More information

or 0101 Machine

or 0101 Machine Synchronous State Graph or Synchronous State Graph or Detector Design a state graph for a machine with: One input X, one output Z. Z= after receiving the complete sequence or Overlapped sequences are detected.

More information

Digital Circuit Engineering

Digital Circuit Engineering Digital Circuit Engineering 2nd Distributive ( A)( B) = AB Circuits that work in a sequence of steps Absorption A = A A= THESE CICUITS NEED STOAGE TO EMEMBE WHEE THEY AE STOAGE D MU G M MU G S CLK D Flip

More information

CARLETON UNIVERSITY Final EXAMINATION April 13, 2009: 14:00

CARLETON UNIVERSITY Final EXAMINATION April 13, 2009: 14:00 RLETO UIVERSITY Final EMITIO pril 3, 29: 4: ame: umber: Signature: URTIO: 3 HOURS o. of Stuents: 25 epartment ame & ourse umber: Electronics ELE 267, an ourse Instructor(s) J. W. Rogers an J. Knigt UTHORIZE

More information

Multiply Out Using DeMorgan and K-Maps

Multiply Out Using DeMorgan and K-Maps Multiply Out Using emorgan and K-Maps Method III: Using K-Maps Step : Find F using General emorgan Step 2: Plot F on a K-Map Step 3: Plot F using the 0 Squares Step 4: Get expression for F from map Example:

More information

EECS 270 Midterm 2 Exam Answer Key Winter 2017

EECS 270 Midterm 2 Exam Answer Key Winter 2017 EES 270 Midterm 2 Exam nswer Key Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of the exam

More information

Section 001. Read this before starting!

Section 001. Read this before starting! Points missed: Student's Name: Total score: / points East Tennessee State University epartment of omputer and Information Sciences SI 25 (Tarnoff) omputer Organization TEST 2 for Fall Semester, 24 Section

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: / points East Tennessee State University epartment of omputer and Information Sciences SI 25 (Tarnoff) omputer Organization TEST 2 for Fall Semester, 28 Read

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

Switching Circuits & Logic Design

Switching Circuits & Logic Design Switching ircuits & Logic esign Jieong Roland Jiang 江介宏 epartment of lectrical ngineering National Taiwan University Fall 22 6 Sequential ircuit esign homsky ierarchy http://www.cs.lmu.edu/~ray/notes/languagetheory/

More information

EE 209 Spiral 1 Exam Solutions Name:

EE 209 Spiral 1 Exam Solutions Name: EE 29 Spiral Exam Solutions Name:.) Answer the following questions as True or False a.) A 4-to- multiplexer requires at least 4 select lines: true / false b.) An 8-to- mux and no other logic can be used

More information

University of Minnesota Department of Electrical and Computer Engineering

University of Minnesota Department of Electrical and Computer Engineering University of Minnesota Department of Electrical and Computer Engineering EE2301 Fall 2008 Introduction to Digital System Design L. L. Kinney Final Eam (Closed Book) Solutions Please enter your name, ID

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

Lecture 14 Finite state machines

Lecture 14 Finite state machines Lecture 14 Finite state machines Finite state machines are the foundation of nearly all digital computation. The state diagram captures the desired system behavior A formulaic process turns this diagram

More information

Learning Objectives:

Learning Objectives: Learning Objectives: t the end of this topic you will be able to; draw a block diagram showing how -type flip-flops can be connected to form a synchronous counter to meet a given specification; explain

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017 UNIVERSITY OF BOLTON TW35 SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER 2-2016/2017 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Introduction to Digital Logic

Introduction to Digital Logic Introduction to Digital Logic Lecture 17: Latches Flip-Flops Problem w/ Bistables Output should have been at end of sequence Problem: Glitch was remembered Need some way to ignore inputs until they are

More information

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR

Logic. Basic Logic Functions. Switches in series (AND) Truth Tables. Switches in Parallel (OR) Alternative view for OR TOPIS: Logic Logic Expressions Logic Gates Simplifying Logic Expressions Sequential Logic (Logic with a Memory) George oole (85-864), English mathematician, oolean logic used in digital computers since

More information

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions

ECE/Comp Sci 352 Digital System Fundamentals Quiz # 1 Solutions Last (Family) Name: KIME First (Given) Name: Student I: epartment of Electrical and omputer Engineering University of Wisconsin - Madison EE/omp Sci 352 igital System Fundamentals Quiz # Solutions October

More information

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Written reexam with solutions for IE1204/5 Digital Design Monday 14/ Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned

More information

EET 310 Flip-Flops 11/17/2011 1

EET 310 Flip-Flops 11/17/2011 1 EET 310 Flip-Flops 11/17/2011 1 FF s and some Definitions Clock Input: FF s are controlled by a trigger or Clock signal. All FF s have a clock input. If a device which attempts to do a FF s task does not

More information

Digital Logic Design - Chapter 4

Digital Logic Design - Chapter 4 Digital Logic Design - Chapter 4 1. Analyze the latch circuit shown below by obtaining timing diagram for the circuit; include propagation delays. Y This circuit has two external input and one feedback

More information

11.1 As mentioned in Experiment 10, sequential logic circuits are a type of logic circuit where the output of

11.1 As mentioned in Experiment 10, sequential logic circuits are a type of logic circuit where the output of EE 2449 Experiment 11 Jack Levine and Nancy Warter-Perez CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 11 SEQUENTIAL CIRCUITS

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

Counters. We ll look at different kinds of counters and discuss how to build them

Counters. We ll look at different kinds of counters and discuss how to build them Counters We ll look at different kinds of counters and discuss how to build them These are not only examples of sequential analysis and design, but also real devices used in larger circuits 1 Introducing

More information

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc.

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Finite State Machines Introduction Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Such devices form

More information

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution S.E. Sem. III [ETRX] Digital Circuits and Design Prelim uestion Paper Solution. (a) Static Hazard Static hazards have two cases: static and static. static- hazard exists when the output variable should

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN 14:332:231 IGITL LOGI ESIGN Ivan Marsic, Rutgers University Electrical & omputer Engineering all 2013 Lecture #17: locked Synchronous -Machine nalysis locked Synchronous Sequential ircuits lso known as

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Synchronous Sequential Circuits Basic Design Steps CprE 281: Digital Logic Iowa State University, Ames,

More information

Q: Examine the relationship between X and the Next state. How would you describe this circuit? A: An inverter which is synched with a clock signal.

Q: Examine the relationship between X and the Next state. How would you describe this circuit? A: An inverter which is synched with a clock signal. /2/2 OF 7 Next, let s reverse engineer a T-Flip flop Prob. (Pg 529) Note that whenever T is equal to, there is a state change, otherwise, there isn t. In this circuit, (x) determines whether the output

More information

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1 Ch 7. Finite State Machines VII - Finite State Machines Contemporary Logic esign 1 Finite State Machines Sequential circuits primitive sequential elements combinational logic Models for representing sequential

More information

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Friday 13/ Written eam with solutions IE204/5 Digital Design Friday / 207 08.00-2.00 General Information Eaminer: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani

More information

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam for IE1204/5 Digital Design with solutions Thursday 29/ Written exam for IE4/5 Digital Design with solutions Thursday 9/ 5 9.-. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 8-794487 Exam text does not have to be returned when

More information

Test 3 - Answer Key Version B

Test 3 - Answer Key Version B Student s Printed Name: Instructor: CUID: Section: Instructions: You are not permitted to use a calculator on any portion of this test. You are not allowed to use any textbook, notes, cell phone, laptop,

More information

Synchronous Sequential Circuit

Synchronous Sequential Circuit Synchronous Sequential Circuit The change of internal state occurs in response to the synchronized clock pulses. Data are read during the clock pulse (e.g. rising-edge triggered) It is supposed to wait

More information

Digital Electronics II Mike Brookes Please pick up: Notes from the front desk

Digital Electronics II Mike Brookes Please pick up: Notes from the front desk NOTATION.PPT(10/8/2010) 1.1 Digital Electronics II Mike Brookes Please pick up: Notes from the front desk 1. What does Digital mean? 2. Where is it used? 3. Why is it used? 4. What are the important features

More information

EXPERIMENT Traffic Light Controller

EXPERIMENT Traffic Light Controller 11.1 Objectives EXPERIMENT 11 11. Traffic Light Controller Practice on the design of clocked sequential circuits. Applications of sequential circuits. 11.2 Overview In this lab you are going to develop

More information

Test 3 Version A. On my honor, I have neither given nor received inappropriate or unauthorized information at any time before or during this test.

Test 3 Version A. On my honor, I have neither given nor received inappropriate or unauthorized information at any time before or during this test. Student s Printed Name: Instructor: CUID: Section: Instructions: You are not permitted to use a calculator on any portion of this test. You are not allowed to use any textbook, notes, cell phone, laptop,

More information

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker

Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Homework Assignment #1 Solutions EE 477 Spring 2017 Professor Parker Note: + implies OR,. implies AND, ~ implies NOT Question 1: a) (4%) Use transmission gates to design a 3-input OR gate Note: There are

More information

Figure 1-1 Basic Gates

Figure 1-1 Basic Gates Figure - Basic Gates B ND: = B B OR: = + B NOT: = ' B ELUSIVE OR: = + B Figure -2 Full dder Y in FULL DDER (a) Full adder module out Sum Y in outsum (b) Truth Table Sum = 'Y'in + 'Yin' + Y'in' + Yin =

More information

:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

:3 2 D e c o de r S ubs ys te m 0  One 1  Ze ro 0  0  One I 1 0  One 1  Ze ro 1  Ze ro 0  0 dvanced igital Logic esign EES 303 http://ziyang.eecs.northwestern.edu/eecs303/ 5:32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 \EN 5:32

More information

CARLETON UNIVERSITY Final EXAMINATION Wed, April 26, 2006, 14:00

CARLETON UNIVERSITY Final EXAMINATION Wed, April 26, 2006, 14:00 RLTO UIVRSITY Final ITIO We, pril 26, 26, 4: ame: umber: Signature: URTIO: 3 HOURS o. of Stuent: 29 epartment ame & oure umber: lectronic L 267, an oure Intructor() T.G.Ray an J. Knight UTHORIZ OR TUR

More information

EE 110 Practice Problems for Exam 1: Solutions, Fall 2008

EE 110 Practice Problems for Exam 1: Solutions, Fall 2008 EE Practice Problems for Exam : Solutions, Fall 28. ircle T (true) or F (false) for each of these oolean equations. (a). T FO + = (b). T FO + = ( + )( + ) (c). TO F = (d). TO F () = () (e). TO F + + =

More information

Test 3 Version A. On my honor, I have neither given nor received inappropriate or unauthorized information at any time before or during this test.

Test 3 Version A. On my honor, I have neither given nor received inappropriate or unauthorized information at any time before or during this test. Student s Printed Name: Instructor: CUID: Section: Instructions: You are not permitted to use a calculator on any portion of this test. You are not allowed to use any textbook, notes, cell phone, laptop,

More information

1 Boolean Algebra Simplification

1 Boolean Algebra Simplification cs281: Computer Organization Lab3 Prelab Our objective in this prelab is to lay the groundwork for simplifying boolean expressions in order to minimize the complexity of the resultant digital logic circuit.

More information

Unit 12 Problem Solutions

Unit 12 Problem Solutions Unit 2 Problem Solutions 2. onsider 3 Y = Y Y Y, that is, we need to add Y to itself 3 times. First, clear the accumulator before the first rising clock edge so that the -register is. Let the d pulse be

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Chapter 9 Asynchronous Sequential Logic

Chapter 9 Asynchronous Sequential Logic 9.1 Introduction EEA051 - Digital Logic 數位邏輯 Chapter 9 Asynchronous Sequential Logic 吳俊興高雄大學資訊工程學系 December 2004 Two major types of sequential circuits: depending on timing of their signals Asynchronous

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Sequential Logic Stephen A. Edwards Columbia University Summer 2017 State-Holding Elements Bistable Elements S Latch Latch Positive-Edge-Triggered Flip-Flop Flip-Flop with

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering SEQUENTIAL CIRCUITS: LATCHES Overview Circuits require memory to store intermediate

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 10

ELE2120 Digital Circuits and Systems. Tutorial Note 10 ELE2120 Digital Circuits and Systems Tutorial Note 10 Outline 1. 1. Sequential Circuit Design 2. 2. Design procedure: a complete example illustration Sequential circuit analysis & design In sequential

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Midterm02 Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Thursday Nov. 16 th In normal lecture (13:00-14:15)

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

EE 209 Logic Cumulative Exam Name:

EE 209 Logic Cumulative Exam Name: EE 209 Logic Cumulative Exam Name: 1.) Answer the following questions as True or False a.) A 4-to-1 multiplexer requires at least 4 select lines: true / false b.) An 8-to-1 mux and no other logi can be

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 23 Digital Logic & Computer Organization Spring 28 Combinational Building Blocks Lecture 5: Announcements Lab 2 prelab due tomorrow HW due Friday HW 2 to be posted on Thursday Lecture 4 to be replayed

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

Synchronous Sequential Circuit Design

Synchronous Sequential Circuit Design Synchronous Sequential Circuit Design 1 Sequential circuit design In sequential circuit design, we turn some description into a working circuit We first make a state table or diagram to express the computation

More information

CSE370 HW6 Solutions (Winter 2010)

CSE370 HW6 Solutions (Winter 2010) SE370 HW6 Solutions (Winter 2010) 1. L2e, 6.10 For this problem we are given a blank waveform with clock and input and asked to draw out the how different flip-flops and latches would behave. LK a) b)

More information

Week-5. Sequential Circuit Design. Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA.

Week-5. Sequential Circuit Design. Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA. Week-5 Sequential Circuit Design Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA. Storing a value: SR = 00 What if S = 0 and R = 0? The equations on the

More information

Mealy & Moore Machines

Mealy & Moore Machines Mealy & Moore Machines Moore Machine is a finite-state machine whose output values are determined solely by its current state and can be defined as six elements (S, S 0, Σ, Λ, T, G), consisting of the

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, Second Semester: 2015/2016 Dept. of Computer Engineering Course Title: Logic Circuits Date: 08/06/2016

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO UN IVERSIT Y O F CA LIFO RNI A AT BERKELEY BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO SAN TA BARBA RA S AN TA CRUZ De p a r tm en t of Ele ctr i ca l En gin e e rin g a

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

CS61C : Machine Structures

CS61C : Machine Structures CS 61C L15 Blocks (1) inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks Outline CL Blocks Latches & Flip Flops A Closer Look 2005-07-14 Andy Carle CS

More information

Quiz 2 Solutions Room 10 Evans Hall, 2:10pm Tuesday April 2 (Open Katz only, Calculators OK, 1hr 20mins)

Quiz 2 Solutions Room 10 Evans Hall, 2:10pm Tuesday April 2 (Open Katz only, Calculators OK, 1hr 20mins) UNIVERSITY OF CALIFORNIA AT BERKELEY ERKELEY DAVIS IRVINE LOS ANGELES RIVERSIDE SAN DIEGO SAN FRANCISCO SANTA BARBARA SANTA CRUZ Department of Electrical Engineering and Computer Sciences Quiz 2 Solutions

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

Time Allowed 3:00 hrs. April, pages

Time Allowed 3:00 hrs. April, pages IGITAL ESIGN COEN 32 Prof. r. A. J. Al-Khalili Time Allowed 3: hrs. April, 998 2 pages Answer All uestions No materials are allowed uestion a) esign a half subtractor b) esign a full subtractor c) Using

More information

Chapter 1 Review of Equations and Inequalities

Chapter 1 Review of Equations and Inequalities Chapter 1 Review of Equations and Inequalities Part I Review of Basic Equations Recall that an equation is an expression with an equal sign in the middle. Also recall that, if a question asks you to solve

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c/su05 CS61C : Machine Structures Lecture #15: Combinational Logic Blocks 2005-07-14 CS 61C L15 Blocks (1) Andy Carle Outline CL Blocks Latches & Flip Flops A Closer Look CS

More information

14.1. Unit 14. State Machine Design

14.1. Unit 14. State Machine Design 4. Unit 4 State Machine Design 4.2 Outcomes I can create a state diagram to solve a sequential problem I can implement a working state machine given a state diagram STATE MACHINES OVERVIEW 4.3 4.4 Review

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2017/2018 Dept. of Computer Engineering Course Title: Logic Circuits Date: 29/01/2018

More information

Digital Electronics. Part A

Digital Electronics. Part A Digital Electronics Final Examination Part A Winter 2004-05 Student Name: Date: lass Period: Total Points: Multiple hoice Directions: Select the letter of the response which best completes the item or

More information

Digital Logic Appendix A

Digital Logic Appendix A Digital Logic Appendix A Boolean Algebra Gates Combinatorial Circuits Sequential Circuits 1 Boolean Algebra George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 Describe digital circuitry

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 COE/EE2DI4 Midterm Test #2 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006 Instructions: This examination paper includes 12 pages and 20 multiple-choice questions starting

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: / points East Tennessee State University Department of Computer and Information Sciences CSCI 25 (Tarnoff) Computer Organization TEST 2 for Fall Semester, 28

More information

Read this before starting!

Read this before starting! Points missed: Student's Name: Total score: / points East Tennessee State University epartment of Computer and Information Sciences CSCI 25 (Tarnoff) Computer Organization TEST 2 for Spring Semester, 27

More information

Working with combinational logic

Working with combinational logic Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and

More information

Combinational Logic (mostly review!)

Combinational Logic (mostly review!) ombinational Logic (mostly review!)! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,... " Minimal set! xioms and theorems of oolean algebra " Proofs by re-writing " Proofs by perfect

More information

CARLETON UNIVERSITY. Deparment of Electronics ELEC 2607 Switching Circuits January 19, Overview;

CARLETON UNIVERSITY. Deparment of Electronics ELEC 2607 Switching Circuits January 19, Overview; CARLETON UNIVERSITY Deparment of Electronics ELEC 267 Switching Circuits January 9, 24 Laboratory. Overview; A 4-Bit Binary Comparator Take a 4-bit binary number X, for example. This number is made of

More information

Student s Printed Name:

Student s Printed Name: Student s Printed Name: Instructor: CUID: Section # : You are not permitted to use a calculator on any portion of this test. You are not allowed to use any textbook, notes, cell phone, laptop, PDA, smart

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization Logic and omputer Design Fundamentals hapter 2 ombinational Logic ircuits Part 2 ircuit Optimization harles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Following the slides of Dr. Ahmed H. Madian Lecture 10 محرم 1439 ه Winter

More information

L10 State Machine Design Topics

L10 State Machine Design Topics L State Machine Design Topics States Machine Design Other topics on state machine design Equivalent sequential machines Incompletely specified machines One Hot State Machines Ref: text Unit 15.4, 15.5,

More information

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid CSE140: Components and Design Techniques for Digital Systems Midterm Information Instructor: Mohsen Imani Midterm Topics In general: everything that was covered in homework 1 and 2 and related lectures,

More information

MATC DIGITAL ELECTRONICS LAB ASYNCHRONOUS RIPPLE COUNTERS

MATC DIGITAL ELECTRONICS LAB ASYNCHRONOUS RIPPLE COUNTERS MT IGITL ELETONIS L SYNHONOUS IPPLE OUNTES Submitted to: Mr. Pham Submitted by: Jody ecker Submitted on: //00 Performed on: //00 Lab Group # OJETIVES Jody ecker Elctec 0-00 Lab synchronous ounters Page

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit esign esign Procedure. Specification 2. Formulation Obtain a state diagram or state table 3. State Assignment Assign binary codes to the states 4. Flip-Flop Input Equation etermination

More information

MA EXAM 3 Form A November 12, You must use a #2 pencil on the mark sense sheet (answer sheet).

MA EXAM 3 Form A November 12, You must use a #2 pencil on the mark sense sheet (answer sheet). MA 6200 EXAM 3 Form A November 2, 205 NAME STUDENT ID # YOUR TA S NAME RECITATION TIME. You must use a #2 pencil on the mark sense sheet (answer sheet). 2. If the cover of your question booklet is GREEN,

More information

15.1 Elimination of Redundant States

15.1 Elimination of Redundant States 15.1 Elimination of Redundant States In Ch. 14 we tried not to have unnecessary states What if we have extra states in the state graph/table? Complete the table then eliminate the redundant states Chapter

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information