An Experimental Study and Modeling of Transformer- Coupled Toroidal Plasma Processing of Materials

Size: px
Start display at page:

Download "An Experimental Study and Modeling of Transformer- Coupled Toroidal Plasma Processing of Materials"

Transcription

1

2 2

3 An Experimental Study and Modeling of Transformer- Coupled Toroidal Plasma Processing of Materials By Bo Bai Submitted to the Department of Physics on January 24, 2006 in partial fulfillment of the requirements for the degree of Doctor of Philosophy Abstract The Transformer Coupled Toroidal Plasma (TCTP) source uses a high power density plasma formed in a toroidal-shaped chamber by transformer coupling using a magnetic core. The objectives of the thesis are (1) to characterize the TCTP source, (2) to understand the kinetics and limitations of species production by the remote TCTP source, and (3) to construct a generic model of plasma-surface interactions. By fitting the rovibrational bands of diatomic molecules such as N 2, the temperatures of neutral gases were determined in the TCTP source. This was the first measurement of the neutral gas temperature of a high power density plasma in a toroidal geometry. An argon plasma was found to be around 2000K for a power density of 5 W/cm 3. To avoid the 3 3 necessity of N 2 addition, the use of the C 2 Swan bands of ( d Π a Π ) were used to determine the neutral gas temperature of fluorocarbon plasmas. In a C 2 F 6 discharge at a power density of 15 W/cm 3, rotational and translational temperatures above 5000K were observed while vibrational temperatures above 8000K were found. The temperature measurements using C 2 molecules were validated by the addition of N 2 to the discharge and the caparison between the rotational temperatures of C 2 and N 2. These high neutral gas temperatures were justified by a power balance calculation that considered the thermal conduction, convection, ion bombardment, and dissociation energy losses. A linear dependence of the neutral gas temperature with the plasma power was found and is consistent with thermal and convention energy losses, where in both, the power loss linearly scales with the gas temperature. The thesis systematically measured the dependence of plasma parameters, such as the neutral gas temperature, the electron temperature, the electron density and the species concentration, on the operational conditions of the plasma. A good match was observed between the experimental g u 3

4 measurements and the prediction of a simplified global plasma model. The plasma model included the heating of the electron gas by a uniform electric field, the loss of energy from the gas to determine the electron temperature, ion and electron losses at surfaces, and formation and loss of species by the electron impact and surface recombination. The loss of atomic fluorine to the formation of COF 2 was shown to cause the etching rate of oxide films in remote fluorocarbon plasmas to be about one half of that in the nitrogen trifluoride plasma since fluorine atoms are the major etchant of oxide. The addition of 3% N 2 was shown to inhibit the formation of COF 2 in perfluorocarbon discharges and thereby increase the amount of atomic fluorine supplied to the etching chamber. With the addition of N 2, the etching rate of SiO 2 and Si increased to rates that were comparable to that of NF 3. The fluorocarbon gases were shown to be suitable for replacement of the nitrogen trifluoride for the remote plasma cleaning of chambers. In addition, the fluorocarbon plasmas with N 2 addition were shown to possess low global warming gas emissions and clean surfaces (no carbonaceous contamination) after processing. The saturation of the etching rate of the silicon dioxide with the partial pressure of fluorine atoms was also observed for the first time. In the saturation regime, the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. A new theoretical framework was developed to model the plasma surface kinetics of both etching and deposition processes for any plasma-surface interactions. The model is based on the translation of a mixed-layer on the substrate which is mixed by ion bombardment during the plasma processing. The kinetics of the etching and deposition are based on the assumption that the surface is atomically well mixed by ion bombardment, and therefore the number of any given moiety is computed by its corresponding nearest bonding neighbor probability, based on the elemental compositions of the layer. All major etching characteristics such as the dependence of the etching yield on the neutral to ion flux ratio can be explained by using the generic modeling approach. This model was also the first one to capture the angular dependence of the etching yield, as a result of the competition between the angular dependence of vacancy generation and that of ion induced reactions. Thesis Advisor: Herbert H. Sawin Thesis co-advisor: Miklos Porkolab 4

5 Acknowledgements This thesis would not have been possible without the support of many people. Many thanks to my adviser, Prof. Herbert H. Sawin, who taught me everything I know about plasma processing, who guided me through all the difficulties of experiments and pitfalls of modeling, and who even took me out with his own plane and gave me the fantastic feeling of free flying. Many thanks to my co-advisor, Prof. Miklos Porkolab, who guided me through my Ph.D. study at MIT, who helped me whenever I need, and who shared with me his unique perspective of science, technology, and the world. Also thanks to my committee members, Prof. Bruno Coppi and Prof. Richard Temkin, for teaching me knowledge about plasma and guide me through the thesis work. Thanks to Dr. Earl Marmar, Dr. James Terry, Dr. Bruce Lipschultz and Dr. Yijun Lin of Plasma Science and Fusion Center for teaching me the experimental study of plasma. Thanks to Peter Romanow and Glori Collver-Jacobson of Chemical Engineering for helping me with all the purchase and travel. Thanks to Carol Arlington of Plasma Science and Fusion Center for always being so warm-hearted in helping and supporting me in all these years! Thanks to Mr. Brian Engler and Dr. Michael Mocella of Dupont, for all the funding support and great discussion. Thanks to Gary Loh for helping me set up and use FTIR. Thanks to Dr. Bill Holber, Dr. Xing Chen and other folks of MKS ASTEX for all the help in repairing, modifying of the plasma source and sharing with me everything they know about the plasma. Thanks to Dr. Brett Cruden of NASA Ames Research Center for helping me do the neutral gas temperature measurements and review our paper. Thanks to my colleagues Dr. Ohseung Kwon, Dr. Weidong Jin and Dr. Stacy Rasgon for teaching me all the details in the lab. Thanks to my colleagues Yunpeng Yin, Hiroyo Kawai, Jujin An and Wei Guo for all the help and valuable discussion. Thanks to Dr. Glen Ko, Dr. John Tolsma and Dr. Zhiwei Zhang of Numerica Technology for kindly providing Jacobian for free and for providing a lot of help and support. And finally, thanks to my wife, parents, brother, and numerous friends who endured this long process with me, always offering support and love. 5

6 Contents Chapter 1 Introduction Integrated Circuits Microelectronics Processing Applications of Plasma Introduction Thin Film Deposition and Chamber Cleaning Remote Plasma Processing Plasma Etching Transformer Coupled Toroidal Plasma (TCTP) for Remote Plasma Processing Surface Kinetics Modeling Scope and Objectives of this work Chapter 2 Experimental Introduction System Setup Toroidal Transformer-Coupled Plasma Source The Vacuum System Optical Emission Spectroscopy (OES) Line-of-Sight Mass Spectrometer Fourier Transform InfraRed Spectroscopy Laser Interferometry Quartz Crystal Microbalance X-Ray Photoelectron Spectroscopy (XPS) Atomic Force Microscopy Summary Chapter 3 Plasma Characterization Introduction Measurements of Electron Temperature and Atomic Species Concentration Electron Temperature Measurement Measurement of Atomic Species Concentration Neutral Gas Temperature Measurements Introduction

7 3.3.2 Basic Assumptions General Procedure and Examples Practical Issues of the Neutral Gas Temperature Measurements Selection of the Rovibrational Band Choice of Fitting a Whole Series of Bands or a Single Band The Dependence of the Measured Gas Temperature on the Optical Resolution Perturbation of the Added Trace Gas on the Plasma Validation of Using the Swan Bands of C 2 for the Neutral Gas Temperature Measurement of the Fluorocarbon Plasma Characterization of the Ar Plasma Electron temperature and resistivity measurements Neutral Gas Temperature Measurements Argon Plasma Modeling Summary of Ar Plasma Characterization Characterization of the NF 3 Plasma Pressure Dependence NF 3 flow rate dependence NF 3 Plasma Modeling Characterization of Fluorocarbon Plasma Dependence of the Neutral Gas Temperature on the Plasma Power Dependence of the neutral gas temperature on the plasma pressure and the oxygen flow rate for C 2 F 6 plasma Dependence of the etching rate on the neutral gas temperature Summary Chapter 4 Experimental Study of Plasma Surface Interactions Using the Remote Plasma Source Introduction Silicon and Silicon Dioxide Etching Rate Enhancement by Nitrogen Containing Gas Addition in Remote Fluorocarbon Plasma

8 4.3 Replacement of Nitrogen Trifluoride by Fluorocarbon Gases for Remote Plasma Processing Global warming gas emission Surface Finishing After Cleaning The dependence of etching rate of oxide on operation parameter using remote fluorocarbon plasma The Dependence of Etching Rate on Oxygen Percentage Dependence of the Oxide Etching Rate on the Plasma Power Dependence of Oxide Etching Rate on the Wafer Temperature Design of Experiments for oxide etching in remote plasma source Saturation of the Etching Rate of Silicon Dioxide Effects of gas impurities on the etching rates of silicon dioxide film and silicon nitride film Effects of Impurities in NF 3 on the Etching Rate of Oxide Effects of Impurities on the Etching Rate of Silicon Nitride Summary Chapter 5 Generic Framework of Surface Kinetics Modeling for Plasma-Surface Interactions Introduction Model Assumptions Reaction Rate Calculation Ion Incorporation Neutral Absorption Physical Sputtering Vacancy Generation Ion Induced Etching Densification Reactions Dangling bond annihilation Spontaneous Reactions Surface Recombination Governing Equations: Balance of the Volume and Individual Species

9 Numeric Realization Example of the Model Construction Results and discussion Silicon etching in chlorine atoms and chlorine ions Silicon Etching in the Chlorine Atoms and Argon Ions Silicon Etching in Chlorine Molecules and Chlorine Molecular Ions Silicon Etching in Chlorine Plasma Angular Dependence of Silicon Etching in Chlorine Plasma Silicon Etching in Bromine Plasma Conclusions Chapter 6 Conclusions Conclusions Recommendation for Future Work References Appendix A. Design Drawings Appendix B Complete List of Reactions for NF 3 + Ar + N 2 + O 2 Plasmas B.1 Plasma phase reactions: B.2 Surface Reactions Appendix C. Code C.1 Neutral Gas Temperature Fitting Code C.2 Surface Kinetics Modeling Code C.3 Plasma Model Code

10 List of Figures FIGURE 1.1 SIMPLIFIED CROSS SECTION OF AN MOS TRANSISTOR FIGURE 1.2 HISTORICAL TRENDS AND FUTURE PROJECTIONS FOR THE MINIMUM FEATURE SIZE USED IN INTEGRATED CIRCUITS IN MANUFACTURING FIGURE 1.3 BASIC SEMICONDUCTOR PROCESS STEPS. (A) FILM TO BE PATTERNED IS DEPOSITED ON A SUBSTRATE. B) THE FILM IS COATED WITH A LIGHT SENSITIVE POLYMER KNOWN AS PHOTORESIST. C) THE RESIST IS EXPOSED THROUGH A MASK CONSISTING OF TRANSPARENT AND OPAQUE REGIONS DEFINING THE DESIRED CIRCUIT FEATURES. D) AFTER EXPOSURE, THE RESIST IS DEVELOPED, LEAVING PORTIONS OF THE FILM MASKED BY UNDISSOLVED RESIST. E) THE FILM IS ETCHED IN A PLASMA PROCESS, LEAVING THE AREAS PROTECTED BY RESIST. F) THE REMAINING RESIST IS STRIPPED, LEAVING A PATTERNED FILM. THESE PROCESSES ARE THEN REPEATED OVER AND OVER TO BUILD UP THE CIRCUIT LAYERS FIGURE 1.4 SCHEMATIC DRAWING OF INDUSTRIAL SETUP OF USING REMOTE PLASMA SOURCE FOR CHAMBER CLEANING FIGURE 1.5 PLASMA ETCHING IN INTEGRATED CIRCUIT MANUFACTURE: (A) EXAMPLE OF ISOTROPIC WET ETCHING; (B) ILLUSTRATING THE ROLE OF BOMBARDING IONS IN ANISOTROPIC DRY ETCHING FIGURE 1.6 DATA OF COBURN AND WINTERS ON THE INTERACTION OF 450 EV ARGON IONS WITH SILICON, WITH AND WITHOUT THE PRESENCE OF XENON DIFLUORIDE GAS. THE ETCHING RATE PRIOR TO 200 S IS DUE TO CHEMICAL ETCHING BY XENON DIFLUORIDE GAS. THE 450 EV ARGON ION BEAM WAS TURNED AT 200 S, PRODUCING THE INCREASED SILICON ETCHING RATE SHOWN. AFTER THE XENON DIFLUORIDE WAS PUMPED OUT OF THE SYSTEM AT 650S, ONLY THE ETCHING RATE CAUSED BY ARGON ION SPUTTERING IS EVIDENT FIGURE 1.7 INDUCTIVELY COUPLED PLASMA ETCHER WITH FULL WAFER INTERFEROMETRY (FWI) AND OPTICAL EMISSION SPECTROSCOPY (OES) DIAGNOSTICS. THE PANCAKE COIL IS POWERED BY A MHZ RF POWER SUPPLY TO GENERATE THE PLASMA, AND THE BOTTOM ELECTRODE IS POWERED BY ANOTHER RF POWER SUPPLY TO CONTROL THE ION BOMBARDMENT ENERGY

11 FIGURE 1.8 DIAGRAM OF THE TRANSFORMER COUPLED TOROIDAL PLASMA MADE BY MKS ASTEX. LEFT: SCHEMATIC DIAGRAM. RIGHT: SCHEMATIC OF THE POWER UNIT FIGURE 2.1 DETAILED SCHEMATIC DRAWING OF EXPERIMENTAL APPARATUS FIGURE 2.2 ACTUAL PICTURE OF THE EXPERIMENTAL APPARATUS. THE BLACK BOX IS THE TRANSFORMER COUPLED TOROIDAL PLASMA SOURCE FIGURE 2.3 WAVEFORM OF CURRENT AND VOLTAGE FOR PLASMA AT CONDITIONS 1250 SCCN C 2 F SCCM O SCCM AR AT SOURCE PRESSURE 3.9 TORR. THE TOTAL POWER CALCULATED TO BE 7.14 KW FIGURE 2.5 DEPENDENCE OF FLOW RATE ON THE PRESSURE RISE IN THE SYSTEM WITH FLOW RATE DETERMINED BY THE NOMINAL GASES AS CALIBRATED BY MANUFACTURER. THE DISCRETE POINTS ARE DATA MEASURED FROM NOMINAL GASES FOR DIFFERENT MFCS. FOR OTHER GASES OTHER THAN NOMINAL GASES, THE PRESSURE RISE WAS MEASURED TO DETERMINE ITS FLOW RATE FIGURE 2.6 SPECTRUM MEASURED BY JOBIN YVON SYSTEM FOR HE-NE LAMP FIGURE 2.7 SPECTRA MEASURED BY JOBIN YVON SYSTEM FOR MERCURY LAMP IN THE RANGE OF 3000A TO 7000A AFTER WAVELENGTH CALIBRATION. FOR EACH PEAK, THE ACTUAL WAVELENGTH IS MARKED ABOVE AND THE APPEARED WAVELENGTH IS MARKED IN THE PARENTHESIS FIGURE 2.8 OPTICAL SPECTRUM IN THE RANGE OF NM MEASURED BY JARREL-ASH FOR AN AR PLASMA PRODUCED BY ASTRON UNIT UNDER CONDITION OF 1.5SLPM AND 2 TORR FIGURE 2.9 SCHEMATIC DRAWING OF THE MASS SPECTROMETER SYSTEM AND ITS CONNECTION TO THE MAIN CHAMBER AND THE PUMP SET FIGURE 2.10 SAMPLE MASS SPECTRA FOR 2000SCCM NF SCCM AR GAS MIXTURES WITH PLASMA ON OR WITHOUT PLASMA. THE PRESSURE IN THE PROCESS CHAMBER IS 1TORR AND THE PRESSURE IN THE MASS SPEC CHAMBER IS 1.5µ10-7 TORR. WITHOUT PLASMA, EXCEPT FOR AR+ THE MAJOR PEAKS ARE NF + 3, NF + 2, NF + + WITH SMALL N 2 AND F +, REPRESENTING THE DISSOCIATIVE IONIZATION IN THE MASS SPECTROMETER IONIZER. WITH PLASMA ON, THE ONLY OBSERVABLE PEAKS ARE F + +, N 2 AND + F2, MARK COMPLETE DISSOCIATION OF NF

12 FIGURE 2.11 SCHEMATIC SETUP OF FOURIER TRANSFORMED INFRARED SPECTROSCOPY. THE TOP MIRROR IS FIXED AND THE RIGHT MIRROR IS MOVING FIGURE 2.13 MEASURED C 4 F 8 CONCENTRATION IN THE EXHAUST GAS BY FTIR FOR (A) THE WET PUMP SET AND (B) DRY PUMP SET. FOR (A) 20 SLM N 2 GAS WAS USED AS DILUTION GAS, 125 SCCM C 4 F 8 WAS ADDED AT TIME 120S; 250SCCM C 4 F 8 WAS ADDED AT TIME 325 S; 375SCCM C 4 F 8 WAS ADDED AT 510 S; AND THE C 4 F 8 WAS CLOSED AT 670 S. FOR (B), 46 SLM N 2 GAS WAS USED AS PURGING AND DILUTION GAS IN THE DRY PUMP; 125 SCCM C 4 F 8 WAS ADDED AT TIME 12 S; 250 SCCM C 4 F 8 WAS ADDED AT TIME 23 S; 375SCCM C 4 F 8 WAS ADDED AT TIME 35S; AND C 4 F 8 GAS WAS TURNED OFF AT 43S FIGURE 2.14 INTERFEROMETRY SIGNAL FOR ETCHING RATE MEASUREMENTS. THE GAS MIXTURE IS COMPOSED OF 4000SCCM NF3 AND 2000 SCCM AR. THE PLASMA SOURCE PRESSURE IS 3.9 TORR AND THE PROCESS CHAMBER PRESSURE IS 2 TORR. SILICON DIOXIDE SAMPLE IS PUT ON THE ELECTRODE WITH TEMPERATURE 50C. THE PEAK DISTANCE IS USED TO CALCULATE THE ETCHING RATE FIGURE 2.15 SCHEMATIC OF TYPICAL XPS OPERATION. X RAYS IMPINGE ON A SAMPLE SURFACE, RELEASING PHOTOELECTRONS THAT ARE DETECTED BY AN ELECTRON ENERGY ANALYZER. BY MEASURING THE KINETIC ENERGY OF THE ELECTRONS, THE CHEMICAL COMPOSITION OF THE SURFACE CAN BE DETERMINED FIGURE 2.16 DIGITAL INSTRUMENTS 3100 TAPPING MODE AFM OPERATION FIGURE 3.1 OPTICAL SPECTRUM IN THE RANGE OF Å MEASURED BY JARREL-ASH FOR A PLASMA PRODUCED WITH A GAS MIXTURE OF 333 SCCM C 2 F SCCM O SCCM AR AT THE PRESSURE OF 2 TORR FIGURE 3.2 DEPENDENCE OF THE RATIO OF <ΣV> S FOR THE 7504 Å (2P1) AND 7515 Å (2P5) SPECTRAL LINES ON THE ELECTRON TEMPERATURE FIGURE 3.3 ROVIBRATIONAL BANDS OF C 3 Π U B 3 Π G TRANSITION OF N 2. (A) WAVELENGTH RANGE Å AND (B) Å FIGURE 3.4 MONOCHROMATOR RESPONSE FUNCTION MEASURED BY THE AR STANDARD LAMP. (A) FITTING BY THE GAUSSINA FUNCTION. (B) FITTING BY THE PHILIPS' TYPE OF FUNCTION

13 FIGURE 3.5 THEORETICAL FITTING OF THE MEASURED ROVIBRATIONAL BAND BY THE GENERAL PROCEDURE DESCRIBED IN SECTION (A) T G =1980K FITTED FROM THE 1-0 BAND AND (B) T G =2050 K FITTED FROM THE 0-0 BAND FIGURE 3.6 THEORETICAL FITTING OF THE MEASURED ROVIBRATIONAL BAND FOR THE AR PLASMA WITH N 2 ADDITION. THE ROVIBRATIONAL BANDS OF THE SECOND POSITIVE SYSTEM OF N 2 (C 3 Π U B 3 Π G ) IN THE WAVELENGTH RANGE Å WAS MEASURED. (A) T ROT =1900K AS FITTED FROM THE Ν = -1 SERIES AND (B)T ROT =2000K AS FITTED FROM THE 1-0 BAND FIGURE 3.7 DEPENDENCE OF FITTED NEUTRAL GAS TEMPERATURE ON THE OPTICAL RESOLUTION FIGURE 3.8 EFFECTS OF THE ADDED N 2 ON THE SPECTRAL INTENSITIES OF THE AR PLASMA. (A) THE INTENSITIES OF TWO AR SPECTRAL LINES AS NORMALIZED TO THE INTENSITY WHEN NO N 2 WAS ADDED. (B) THE RATIO OF THESE TWO NORMALIZED SPECTRAL LINES FIGURE 3.9 CHANGE OF THE NEUTRAL TEMPERATURE AND POWER WITH DIFFERENT AMOUNT N 2 ADDED IN. (A) NEUTRAL GAS TEMPERATURE AND (B) POWER FIGURE 3.10 NEUTRAL GAS TEMPERATURE MEASUREMENTS OF FLUOROCARBON PLASMA WITH 333 SCCM C 2 F 6, 1115 SCCM O 2 AND 2550 SCCM AR GAS MIXTURES WITH 400 SCCMN 2 ADDED. (A) 1-0 BAND OF C 3 Π U B 3 Π G TRANSITION OF N 2, (B) Ν = 0 SERIES OF D 3 Π G A 3 Π U OF C 2 MOLECULES UNDER THE SAME CONDITION FIGURE 3.11 SPATIAL PROFILE OF THE ELECTRON TEMPERATURE OF 2SLM ARGON PLASMA AT 1 TORR PRESSURE. POSITION ZERO REPRESENTS THE CENTER OF THE PLASMA SOURCE CHAMBER FIGURE 3.12 DEPENDENCE OF THE ELECTRON TEMPERATURE ON THE PLASMA SOURCE PRESSURE FOR THE ARGON PLASMA WITH DIFFERENT FLOW RATES FIGURE 3.13 DEPENDENCE OF THE PLASMA POWER ON THE PLASMA SOURCE PRESSURE OF THE ARGON PLASMA FOR FOUR DIFFERENT FLOW RATES FIGURE 3.14 DEPENDENCE OF THE PLASMA RESISTIVITY ON THE PLASMA SOURCE PRESSURE OF THE ARGON PLASMA FOR FOUR DIFFERENT FLOW RATES FIGURE 3.15 DEPENDENCE OF THE ELECTRON DENSITY AS A FUNCTION OF THE PLASMA SOURCE PRESSURE FOR THE AR PLASMA WITH 2SLM FLOW RATE

14 FIGURE 3.16 SPATIAL DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE OF THE AR PLASMA, WITH THE X AND THE Z DIRECTION DEFINED IN FIGURE 2.1. ZERO VALUE REPRESENTS CENTER OF THE CROSS SECTION AND ±12.5 MM REPRESENTS THE CHAMBER WALL FIGURE 3.17 DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE ON THE PRESSURE OF THE PLASMA SOURCE FOR 500 SCCM AR PLASMA. THE LOGARITHM PRESSURE DEPENDENCE WAS FOUND TO BE A GOOD FIT FIGURE 3.18 DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE ON THE PLASMA SOURCE PRESSURE FOR FOUR ARGON GAS FLOW RATES FIGURE 3.19 DEPENDENCE OF THE MODEL PREDICTED NEUTRAL GAS TEMPERATURE ON THE HEAT TRANSFER COEFFICIENT FOR 2000 SCCM AR PLASMA OPERATE AT 2 TORR PRESSURE WITH 1680 W POWER. WITH THE EXPERIMENTALLY MEASURED NEUTRAL GAS TEMPERATURE OF 1700K, THE HEAT TRANSFER COEFFICIENT WAS DETERMINED TO BE CAL/CM 2 -K-SEC FIGURE 3.20 COMPARISON OF THE EXPERIMENTALLY MEASURED AND THE MODEL PREDICTED DEPENDENCE OF THE ELECTRON TEMPERATURE ON THE PLASMA SOURCE PRESSURE. THE PLASMA COMPOSES OF 2000 SCCM AR FIGURE 3.21 COMPARISON OF THE EXPERIMENTALLY MEASURED AND THE MODEL PREDICTED DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE ON THE PLASMA SOURCE PRESSURE. THE PLASMA COMPOSES OF 2000 SCCM AR FIGURE 3.22 COMPARISON OF THE EXPERIMENTALLY MEASURED AND THE MODEL PREDICTED DEPENDENCE OF THE ELECTRON DENSITY ON THE PLASMA SOURCE PRESSURE. THE PLASMA COMPOSES OF 2000 SCCM AR FIGURE 3.23 THE DEPENDENCE OF THE IONIZATION FRACTION AND THE METASTABLE STATE AR CONCENTRATION ON THE PLASMA SOURCE PRESSURE, AS PREDICTED BY THE MODEL FOR 2000 SCCM AR PLASMA FIGURE 3.24 DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE AND THE ELECTRON TEMPERATURE ON THE PLASMA POWER, AS PREDICTED BY THE MODEL, FOR 2000 SCCM ARGON PLASMA AT PRESSURE OF 2 TORR FIGURE 3.25 DEPENDENCE OF THE ELECTRON DENSITY AND THE ARGON METASTABLE STATE CONCENTRATION ON THE PLASMA POWER, AS PREDICTED BY THE MODEL, FOR 2000 SCCM ARGON PLASMA AT PRESSURE OF 2 TORR

15 FIGURE 3.26 DEPENDENCE OF THE PLASMA POWER AND THE PLASMA RESISTIVITY ON THE PLASMA SOURCE PRESSURE FOR A PLASMA WITH 667 SCCM NF SCCM AR FIGURE 3.27 EXPERIMENTALLY MEASURED AND THE MODEL-PREDICTED DEPENDENCE OF THE NEUTRAL TEMPERATURE ON THE PLASMA SOURCE PRESSURE FOR THE PLASMA OF 667 SCCM NF SCCM AR FIGURE 3.28 EXPERIMENTALLY MEASURED AND THE MODEL-PREDICTED DEPENDENCE OF THE ELECTRON TEMPERATURE ON THE PLASMA SOURCE PRESSURE FOR THE PLASMA OF 667 SCCM NF SCCM AR FIGURE 3.29 EXPERIMENTALLY MEASURED AND THE MODEL-PREDICTED DEPENDENCE OF THE ATOMIC FLUORINE CONCENTRATION ON THE PLASMA SOURCE PRESSURE FOR THE PLASMA WITH 667 SCCM NF SCCM AR FIGURE 3.30 DEPENDENCE OF THE OXIDE ETCHING RATE ON THE PLASMA SOURCE PRESSURE FOR THE PLASMA WITH 667 SCCM NF 3 AND 2000 SCCM AR. THE PROCESS CHAMBER PRESSURE WAS KEPT AT 2 TORR. THE SAMPLE TEMPERATURE WAS KEPT AT 100 O C. 142 FIGURE 3.31 EXPERIMENTALLY MEASURED PLASMA POWER AND THE PLASMA SOURCE PRESSURE ON THE NF 3 FLOW RATE. THE PROCESS CHAMBER PRESSURE WAS FIXED AT 2 TORR SCCM AR WAS ALWAYS ADDED IN THE PLASMA FIGURE 3.32 EXPERIMENTALLY MEASURED AND MODEL PREDICTED NEUTRAL GAS TEMPERATURE ON THE NF 3 FLOW RATE. THE PROCESS CHAMBER PRESSURE WAS FIXED AT 2 TORR FIGURE 3.33 EXPERIMENTALLY MEASURED AND MODEL PREDICTED ELECTRON TEMPERATURE ON THE NF 3 FLOW RATE. THE PROCESS CHAMBER PRESSURE WAS FIXED AT 2 TORR.144 FIGURE 3.34 EXPERIMENTALLY MEASURED AND MODEL PREDICTED ATOMIC FLUORINE CONCENTRATION ON THE NF 3 FLOW RATE. THE PROCESS CHAMBER PRESSURE WAS FIXED AT 2 TORR FIGURE 3.35 MODEL PREDICTED MOLAR FRACTION OF THE NEUTRAL SPECIES IN THE PLASMA OF 667 SCCM NF SCCM AR AT THE PRESSURE OF 2 TORR FIGURE 3.36 MODEL PREDICTED MOLAR FRACTION OF THE CHARGED PARTICLES IN THE PLASMA OF 667 SCCM NF SCCM AR AT PRESSURE 2 TORR. E REPRESENTS THE ELECTRONS

16 FIGURE 3.37 DEPENDENCE OF THE MOLAR FRACTION OF THE FLUORINE ATOMS ON THE PLASMA SOURCE PRESSURE FOR 667 SCCM NF SCCM AR PLASMA, AS PREDICTED BY THE MODEL FIGURE 3.38 DEPENDENCE OF THE FLUORINE ATOM MOLAR FRACTION ON THE NF 3 FLOW RATE, AS PREDICTED BY THE MODEL. THE PLASMA IS ALWAYS COMPOSED OF 2000 SCCM AR. THE POWER AND PRESSURE INFORMATION ARE SHOWN IN FIGURE FIGURE 3.39 DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE ON THE PLASMA POWER FOR THREE GAS MIXTURES. THE 333SCCM C 2 F 6 MIXTURE REPRESENTS 333 SCCM C 2 F SCCM O SCCM AR AT THE PLASMA SOURCE PRESSURE OF 2.7 TORR. THE 667 SCCM C 2 F 6 MIXTURE REPRESENTS 667 SCCM C 2 F SCCM O SCCM AR AT THE PLASMA SOURCE PRESSURE OF 2.9 TORR. THE 1000 SCCM C 2 F 6 MIXTURE REPRESENTS 1000 SCCM C 2 F SCCM O SCCM AR AT THE PLASMA SOURCE PRESSURE OF 3.6 TORR FIGURE 3.40 DEPENDENCE OF PLASMA POWER AND THE NEUTRAL TEMPERATURE ON THE PLASMA SOURCE PRESSURE FOR THREE DIFFERENT O 2 FLOW RATE. (A) DEPENDENCE OF PLASMA POWER ON PLASMA SOURCE PRESSURE. (B) DEPENDENCE OF THE NEUTRAL GAS TEMPERATURE ON PLASMA SOURCE PRESSURE FIGURE 3.41 DEPENDENCE OF NEUTRAL GAS TEMPERATURE ON PLASMA POWER FOR DIFFERENT O 2 FLOW RATE AND PRESSURE FIGURE 3.42 DEPENDENCE OF THE LOGARITHMS OF ETCHING RATE ON THE INVERSE NEUTRAL GAS TEMPERATURE FIGURE 4.1 TIME SERIES OF (A) ETCHING RATE AND (B) EXHAUST GAS CONCENTRATION (FTIR) DURING THE EXPERIMENT WITH N 2 ADDITION IN A GAS MIXTURE OF 250 SCCM C 4 F 8, 1750 SCCM O 2 AND 2000 SCCM AR. GAS MIXTURE OF C 4 F 8, O 2 AND AR WERE ADDED AND IGNITED AT TIME 50S, THE PRESSURE OF THE PLASMA SOURCE WAS ADJUSTED TO 2 TORR AT TIME 250S, THEN 100 SCCM N 2 WAS ADDED IN AT TIME 720S AND STOPPED AT 1280 S. LATER, 200 SCCM N 2 WAS ADDED AND STOPPED AT 2000 S AND 2780 S FIGURE 4.2 SPATIAL DEPENDENCE OF PEAK INTENSITY AT 7504 Å WITH AND WITHOUT N 2 ADDITION. POSITION ZERO REPRESENTS THE CENTER OF THE PLASMA SOURCE CHAMBER. THE OPTICAL SYSTEM IS NOT PERFECTLY ALIGNED WITH THE AXIS OF THE CHAMBER, WHICH MAY CAUSE THE OBSERVED NONSYMETRY

17 FIGURE 4.3 TIME SERIES OF (A) ETCHING RATE AND (B) EXHAUST GAS CONCENTRATION (FTIR) DURING THE EXPERIMENT OF TRANSIENT O 2 SHUT OFF. A GAS MIXTURE OF 250 SCCM C 4 F 8, 1750 SCCM O 2 AND 2000 SCCM AR WERE IGNITED AT TIME -800S, AND THE PRESSURE WAS ADJUSTED TO 2 TORR AT TIME, 600 S. AT TIME ZERO, THE O 2 FLOW RATE WAS SHUT OFF FOR 2 SECOND AND RE-OPENED. FINALLY THE PLASMA AND GASES ARE TURNED OFF AT TIME 450 S FIGURE 4.4 COMPARISON OF ION SPECIES CONCENTRATION WITH OR WITHOUT N 2 ADDITION IN THE PLASMA OF 667 SCCM C 2 F 6, 1333 SCCM O 2 AND 2000 SCCM AR, AS MEASURED BY MASS SPECTROMETER FIGURE 4.5 DEPENDENCE OF ETCHING RATE OF SIO 2 AND COF 2 CONCENTRATION IN THE EXHAUST GAS ON THE ADDED N 2 FLOW RATE IN PLASMA COMPOSED OF 667SCCM C 2 F 6, 1333 SCCM O 2 AND 2000SCCM AR FIGURE 4.6 TIME SERIES OF (A) EXHAUST GAS CONCENTRATION(FTIR) AND (B) ETCHING RATE DURING THE EXPERIMENT WITH N 2 PRETREATMENT IN A GAS MIXTURE OF 250 SCCM C 4 F 8, 1750 SCCM O 2 AND 2000 SCCM AR. THE PRETREATMENT GAS MIXTURE COMPOSED OF 100 SCCM OF N 2 AND 2000 SCCM OF AR. THE PROCESS CHAMBER PRESSURE IS 2 TORR. THE MOUNTING WITH SIO 2 SURFACE DEPOSITS ON IT WAS CONTROLLED AT 100 O C FIGURE 4.7 FTIR MEASUREMENT OF C 4 F 8 GAS MIXTURE EMISSION. THE GAS MIXTURE WAS COMPOSED OF 250 SCCM C 4 F 8, 2250 SCCM O 2, 100SCCM N 2 AND 1500 SCCM AR. THE PLASMA SOURCE CHAMBER PRESSURE WAS 2.84 TORR AND THE DILUTING PURGING N 2 GAS TO THE DRY PUMP WAS 44 SLM. AR PLASMA WAS TURNED ON AT 150 SECOND, THEN N 2 WAS ADDED, FOLLOWED BY O 2 AND C 4 F 8. THE PLASMA POWER WAS SHUT DOWN AT 1120 S FIGURE 4.8 XPS STUDY OF SAPPHIRE WAFERS EXPOSED TO REMOTE PLASMA SOURCE FOR 10 MINUTES. (A) WITH OPTIMIZED O2 AND SUFFICIENT POWER. (B) WITHOUT ENOUGH O2 OR POWER FIGURE 4.9 ATOMIC FORCE MICROSCOPE (AFM) MICROGRAPHS OF SAPPHIRE WAFERS -10 MIN PLASMA EXPOSURE AT ROOM TEMPERATURE. (A) BEFORE REMOTE CHAMBER CLEANING (B) REMOTE CHAMBER CLEANING WITH OPTIMIZED O 2 AND SUFFICIENT 17

18 POWER (C) REMOTE CHAMBER CLEANING WITH INSUFFICIENT O 2 OR INSUFFICIENT POWER FIGURE 4.10 PLOT OF ETCH RATE OF TEOS SILICON DIOXIDE AT 100 O C AS A FUNCTION OF O 2 PERCENTAGE IN C 4 F 8 AND O 2 MIXTURE. THE SOLID LINE INDICATES THE ETCHING RATE WITH NF 3 WITH THE SAME ELEMENTAL FLUORINE FLOW AND PRESSURE (2 TORR). (A) 250 SCCM C 4 F 8 VS. 667 SCCM NF 3. (B) 500 SCCM C 4 F 8 VS. 1333SCCM NF FIGURE 4.11 FTIR MEASUREMENTS OF O2 EFFECT IN C 4 F 8 +O 2 +AR PLASMA FIGURE 4.12 DEPENDENCE OF ETCHING RATES AS A FUNCTION OF OXYGEN PERCENTAGE FOR FLUOROCARBON GASES AND THEIR COMPARISON TO THAT OF NF 3 AT THE SAME TOTAL FLOW RATE OF ELEMENTAL FLUORINE FLOW RATE AT 4000SCCM. 100 SCCM N 2 WAS ADDED AND THE FLOW RATE OF ARGON IS ADJUSTED FOR OXYGEN PERCENTAGE FIGURE 4.13 DEPENDENCE OF THE PLASMA POWER ON THE CURRENT SET POINT FOR THREE GAS MIXTURES. THE 333SCCM C 2 F 6 MIXTURE REPRESENTS 333 SCCM C 2 F SCCM O SCCM AR AT PLASMA SOURCE PRESSURE OF 2.7 TORR. THE 667 SCCM C 2 F 6 MIXTURE REPRESENTS 667 SCCM C 2 F SCCM O SCCM AR AT THE PLASMA SOURCE PRESSURE OF 2.9 TORR. THE 1000 SCCM C 2 F 6 MIXTURE REPRESENTS 1000 SCCM C 2 F SCCM O SCCM AR AT THE PLASMA SOURCE PRESSURE OF 3.6 TORR FIGURE 4.14 DEPENDENCE OF ETCHING RATE ON THE PLASMA POWER FOR A GIVEN GAS MIXTURE FOR THREE GAS MIXTURES. THE SAMPLE TEMPERATURE WAS CONTROLLED AT 100 O C AND THE PROCESS CHAMBER PRESSURE WAS 2 TORR FIGURE 4.15 DEPENDENCE OF LOG(ETCHING RATE) ON INVERSE TEMPERATURE. RESULTS FOR BOTH THERMAL OXIDE AND TEOS OXIDE ARE SHOWN. THE REMOTE PLASMA SOURCE IS COMPOSED OF 333 SCCM C 2 F 6, 667 SCCM O 2 AND 2000 SCCM AR. THE PROCESS CHAMBER PRESSURE IS 2 TORR. FOR BOTH THERMAL OXIDE AND TEOS OXIDE, THE ACTIVATION ENERGY IS FITTED TO BE 0.13 EV FIGURE 4.16 THE DEPENDENCE OF THE PLASMA POWER ON THE PLASMA SOURCE PRESSURE (A), THE PROCESS CHAMBER PRESSURE (A), THE TOTAL FLOW RATE (B) AND THE OXYGEN PERCENTAGE (B). 250 SCCM C 4 F 8 WAS IN THE GAS MIXTURE. 100 SCCM N 2 WAS PRESENT TO ENHANCE ALL ETCHING RATE

19 FIGURE 4.17 THE DEPENDENCE OF THE FLUORINE CONVERSION EFFICIENCY ON THE PLASMA SOURCE PRESSURE (A), THE PROCESS CHAMBER PRESSURE (A), THE TOTAL FLOW RATE (B) AND THE OXYGEN PERCENTAGE (B) FIGURE 4.18 THE DEPENDENCE OF THE ETCHING RATE ON THE PLASMA SOURCE PRESSURE (A), THE PROCESS CHAMBER PRESSURE (A), THE TOTAL FLOW RATE (B) AND THE OXYGEN PERCENTAGE (B) FIGURE 4.19 DEPENDENCE OF NF 3 DISSOCIATION PERCENTAGE ON THE FLOW RATE OF NF 3. THE DISSOCIATION PERCENTAGE WAS MEASURED BY FTIR. THE PLASMA WAS COMPOSED OF 2000 SCCM AR AND DIFFERENT AMOUNT OF NF3 FLOW RATE. THE PLASMA POWER WAS 2.9KW, 3.5KW, 4.0KW, 4.8KW, 5.5KW AND 6.0KW FOR 333SCCM, 667SCCM, 1000SCCM, 2000SCCM, 3000SCCM AND 4000SCCM NF 3, RESPECTIVELY. 206 FIGURE 4.20 DEPENDENCE OF ETCHING RATE OF OXIDE ON THE FLOW RATE OF NITROGEN TRIFLUORIDE. THE PROCESS CHAMBER PRESSURE IS KEPT AT 2 TORR. THE PLASMA WAS COMPOSED OF 2000 SCCM AR AND DIFFERENT AMOUNT OF NF3 FLOW RATE. THE PLASMA POWERS WERE 2.9KW, 3.5KW, 4.0KW, 4.8KW, 5.5KW AND 6.0KW FOR 333SCCM, 667SCCM, 1000SCCM, 2000SCCM, 3000SCCM AND 4000SCCM NF 3, RESPECTIVELY. THE SOURCE PRESSURES WERE 2.22 TORR, 2.36TORR, 2.47TORR, 2.89TORR, 3.37TORR AND 3.93 TORR FOR 333SCCM, 667SCCM, 1000SCCM, 2000SCCM, 3000SCCM AND 4000SCCM NF 3, RESPECTIVELY FIGURE 4.21 DEPENDENCE OF ETCHING RATE AT 50 O C AND 200 O C AND MOLAR FRACTION OF FLUORINE AS PREDICTED BY THE MODEL ON NF 3 GAS FLOW RATE FIGURE 4.22 DEPENDENCE OF ETCHING RATE OF OXIDE ON THE DOWNSTREAM PROCESS CHAMBER PRESSURE. THE PLASMA IS COMPOSED OF 2000 SCCM AR AND 2000 SCCM NF 3. THE PLASMA SOURCE PRESSURE IS FIXED AT 4 TORR. THE PLASMA POWER CONSUMPTION IS 4.7KW FIGURE 4.23 DEPENDENCE OF PLASMA POWER AND NEUTRAL GAS TEMPERATURE ON THE FLOW RATE OF O2 ADDITION INTO A PLASMA COMPOSED OF 1333SCCM NF 3 AND 2667 SCCM AR. O2 ADDITION WAS FOUND TO INCREASE THE POWER CONSUMPTION BASED ON CONSTANT CURRENT AND HENCE HEATED UP THE NEUTRAL GASES TO A HIGHER GAS TEMPERATURE

20 FIGURE 4.24 DEPENDENCE OF ETCHING RATE ON THE FLOW RATE OF O 2 ADDITION INTO A PLASMA COMPOSED OF 1333SCCM NF 3 AND 2667 SCCM AR. N 2 ADDITION DID NOT SIGNIFICANTLY CHANGE THE ETCHING RATE OF THE OXIDE. THE WAFER TEMPERATURE WAS 100 O C FIGURE 4.25 COMPARISON OF SI 3 N 4 FILM ETCHING RATE WITH NF 3 AND C 2 F 6. THE SAMPLE TEMPERATURE WAS 50C. NF 3 + AR PLASMA: 1333 SCCM SCCM. C 2 F 6 +O 2 +AR PLASMA: SCCM FIGURE 4.26 DEPENDENCE OF SILICON NITRIDE ETCHING ON ADDED O 2 FLOW RATE IN NF 3 /AR PLASMA. THE FEEDING GAS MIXTURE WAS COMPOSED OF 1333 SCCM NF 3 AND 2667 SCCM AR. THE WAFER TEMPERATURE WAS 50 C. THE PROCESS CHAMBER PRESSURE WAS 2 TORR FIGURE 4.27 EFFECT OF NITROGEN-CONTAINING GAS ADDITION ON SILICON NITRIDE ETCHING WITH REMOTE C 2 F 6 /AR/O 2 PLASMA, WHICH WAS COMPOSED OF 667 SCCM C 2 F 6, 1333 SCCM O 2 AND 2000 SCCM AR. 100 SCCM N 2 OR 300 SCCM NF 3 WERE ADDED. THE WAFER TEMPERATURE WAS CONTROLLED AT 50 O C. THE PROCESS CHAMBER PRESSURE WAS 2 TORR FIGURE 4.28 COMPARISON OF ETCHING RATES ON SILICON NITRIDE SURFACE DEPOSIT WITH N 2 OR NF 3 ADDITION IN SF 6 +O 2 +AR FEEDING GAS MIXTURE THE FEEDING GAS COMPOSED OF O 2, SF 6, AR AND OPTIONALLY N 2 OR NF 3 WHEREIN O 2 FLOW RATE WAS 667 SCCM, AR FLOW RATE WAS 2000 SCCM, SF 6 FLOW RATE WAS 667 SCCM. CHAMBER PRESSURE WAS 2 TORR. THE SAMPLE TEMPERATURE WAS CONTROLLED AT 50 O C FIGURE 4.29 TIME SERIES OF EXHAUST GAS CONCENTRATION DURING THE EXPERIMENTS OF N 2 ADDITION IN C 2 F 6 /O 2 /AR GAS MIXTURE. THE C 2 F 6 /O 2 /AR GAS WAS COMPOSED OF 667 SCCM C 2 F 6, 1333 SCCM O 2 AND 2000 SCCM AR. 100 SCCM N 2 WAS ADDED BEFORE C 2 F 6 ADDITION. THE WAFER TEMPERATURE WAS 50 O C. THE PROCESS CHAMBER PRESSURE WAS 2 TORR FIGURE 5.1 DIAGRAM OF THE MIXED TRANSLATING LAYER. THE LAYER HAS A DEPTH CORRESPONDING TO THE ION IMPLANTATION AND KNOCK-ON LENGTH OF ABOUT 2.5 NM. IT IS ASSUMED THAT THE LAYER IS COMPLETELY RANDOMIZED BY THE ION BOMBARDMENT. VACANCIESS ARE INCLUDED AS V S. IN THE MODEL, THE NUMBER OF BONDING NEIGHBORS IS ACTUALLY DETERMINED BY THE NUMBER OF BONDS 20

21 ASSOCIATED WITH THE ATOMIC SPECIES RATHER THAN A UNIFORM NUMBER FOR ALL SPECIES AS IS CONCEPTUALLY SHOWN IN THIS DIAGRAM. SILICON IS ASSUMED TO HAVE 4 BONDING NEIGHBORS, OXYGEN HAS 2 BONDING NEIGHBORS, CHLORINE (OR BROMINE) HAS 1 BONDING NEIGHBOR AND A VACANCY HAS 1 BONDING NEIGHBOR. THE INCLUSION OF VACANCIES ALLOWS THE MODELING OF ACTIVE SITES FOR ABSORPTION OF NEUTRALS TO BOND ON THE DANGLING BOND S NEIGHBORING ATOM. THE CONCENTRATION OF CHEMICAL MOIETIES IS DETERMINED BY THE STATISTICAL PROBABILITY OF THEIR BEING FORMED STATISTICALLY ASSUMING RANDOMIZATION OF THE BONDING NEIGHBORS. BASED ON THE MOIETY CONCENTRATION, THE ION INDUCED ETCHING RATES ARE COMPUTED FIGURE 5.2 CONCEPTS OF NET ETCHING AND DEPOSITION. ON THE LEFT, NET ETCHING OF THE SUBSTRATE IS ILLUSTRATED IN WHICH THE ETCHING FLUX INTO THE PLASMA EXCEEDS THE DEPOSITION FLUX FROM THE PLASMA. THE MIXED VOLUME ACQUIRES A FLUX OF MATERIAL FROM THE SUBSTRATE TO MAINTAIN ITS ATOM NUMBER IN THE MIXED LAYER AS IT TRANSLATES INTO THE SUBSTRATE. ON THE RIGHT, NET DEPOSITION ON THE SUBSTRATE IS ILLUSTRATED IN WHICH THE DEPOSITION FLUX FROM THE PLASMA EXCEEDS THE ETCHING FLUX INTO THE PLASMA. THE MIXED VOLUME REJECTS A FLUX OF MATERIAL FROM THE SUBSTRATE TO MAINTAIN ITS ATOM NUMBER IN THE MIXED LAYER AS IT TRANSLATES AWAY FROM THE SUBSTRATE FIGURE 5.3 CHANGE OF THE NORMALIZED SURFACE CONCENTRATION, ETCHING/DEPOSITION YIELD WITH INTEGRATION TIME FOR SILICON ETCHING IN CHLORINE ATOMS AND CHLORINE IONS. THE NEUTRAL TO ION FLUX RATIO EQUALS 500 AND THE CHLORINE IONS IMPINGE AT NORMAL ANGLE WITH BOMBARDMENT ENERGY 55 EV FIGURE 5.4 DEPENDENCE OF ETCHING YIELD ON NEUTRAL TO ION FLUX RATIO FOR SILICON ETCHED BY CHLORINE ATOM AND CHLORINE ION BEAMS FIGURE 5.5 DEPENDENCE OF SURFACE MOIETY CONCENTRATIONS ON THE NEUTRAL TO ION FLUX RATIO FOR BEAM EXPERIMENTS WITH CL ATOMS, 55EV CL IONS ETCHING SILICON FIGURE 5.6 DEPENDENCE OF ETCHING YIELD ON THE NEUTRAL TO ION FLUX RATIO FOR SILICON ETCHED BY CHLORINE ATOMS AND ARGON IONS AT ION BOMBARDMENT ENERGY OF 35, 60 AND 100 EV

22 FIGURE 5.7 DEPENDENCE OF SURFACE CONCENTRATION OF SILICON (X_SI), CHLORINE (X_CL) AND VACANCY (X_V) ON THE NEUTRAL TO ION FLUX RATIO FOR SILICON ETCHED BY CHLORINE ATOMS AND ARGON IONS AT ION BOMBARDMENT ENERGY OF 60EV FIGURE 5.8 DEPENDENCE OF ETCHING YIELD ON THE SQUARE ROOT OF ENERGY FOR SILICON ETCHING IN CHLORINE MOLECULES AND CHLORINE MOLECULAR IONS. THE SQUARES ARE EXPERIMENTAL DATA AND THE SOLID LINE IS THE MODEL FITTING. THE NEUTRAL TO ION FLUX RATIO IN THE MODEL IS SET TO 500, ASSUMING SATURATION REGIME IS REACHED FIGURE 5.9 DEPENDENCE OF SURFACE CONCENTRATION OF SILICON (X_SI), CHLORINE (X_CL) AND DANGLING BONDS (X_D) ON ION BOMBARDMENT ENERGY FOR SILICON ETCHING IN CHLORINE MOLECULES AND CHLORINE MOLECULAR IONS FIGURE 5.10 DEPENDENCE OF ETCHING YIELD ON THE SQUARE ROOT OF ENERGY FOR SILICON ETCHING IN CL/CL + BEAMS (DIAMOND), IN CL 2 /CL 2 + BEAMS(SQUARE) AND IN CL2 PLASMA (TRIANGLE). THE LINES ARE CORRESPONDING RESULTS FROM MODEL. THE NEUTRAL TO ION FLUX RATIOS IN THE MODELS ARE ALL FIGURE 5.11 MODEL PREDICTED DEPENDENCE OF NORMALIZED SURFACE CONCENTRATION OF SILICON (X_SI), CHLORINE (X_CL) AND VACANCY (X_V) ON ION BOMBARDMENT ENERGY FOR SILICON ETCHING IN CL 2 PLASMA. THE NEUTRAL TO ION FLUX RATIO IN THE MODEL EQUALS FIGURE 5.12 COMPARISON OF THE DEPENDENCE OF NORMALIZED ETCHING YIELD ON THE OFF NORMAL ANGLE OF INCIDENT IONS. THE SOLID LINE IS THE MODEL PREDICTION AND THE EXPERIMENTAL DATA ARE TAKEN FROM VITALE ET AL (DIAMOND) AND CHANG ET AL (SQUARE) FIGURE 5.13 DEPENDENCE OF ETCHING YIELD ON THE SQUARE ROOT OF ENERGY FOR SILICON ETCHING IN BROMINE PLASMA. THE NEUTRAL TO ION FLUX RATIO IS SET TO 1000, ASSUMING THAT THE SATURATION REGIME IS REACHED

23 List of Tables TABLE 3.1 CORRESPONDENCE BETWEEN THE PARAMETER Y AND THE HERZBERG'S TERMINOLOGY TABLE 3.2 Y PQ VALUE OF C 3 Π U AND B 3 Π G ENERGY LEVELS OF N 2. A TABLE 3.3 Y PQ VALUE OF D 3 Π G AND A 3 Π U ENERGY LEVELS OF C 2. A TABLE 3.4 FRANK-CONDON FACTORS A,B TABLE 3.5 REACTION PARAMETERS FOR AR PLASMA TABLE 3.6 SUMMARY OF THE PROPERTY OF ARGON PLASMA TABLE 3.7 LIST OF PARTICLES IN THE PLASMA PHASE MODEL OF NF 3 +AR+N 2 +O TABLE 4.1 PFC CHARACTERISTICS TABLE 4.2 SATURATION THRESHOLD FOR SILICON DIOXIDE ETCHING TABLE 5.1 LIST OF REACTIONS AND PARAMETERS IN MODELS FOR SILICON ETCHING IN CHLORINE RELATED SYSTEM TABLE 5.2 LIST OF REACTIONS IN THE MODEL OF SILICON ETCHING IN BROMINE PLASMA271 23

24 Chapter 1 Introduction 1.1 Integrated Circuits Integrated circuits are electrical circuits that are manufactured by a sequence of steps that simultaneously build the transistors, capacitors, diodes, resistors, wiring, etc. that compose the circuit. Transistors are the key elements in the integrated circuits. In digital circuits, a transistor is simply a switch which is closed and opened by an isolated control terminal, representing the digital 0 and 1states. In analog circuits, transistors are generally used to amplify the input signal. There are two dominant types of transistors used in silicon integrated circuits today, the Bipolar Junction Transistors (BJTs) and the Metal Oxide Semiconductor (MOS), short for Metal-Oxide Semiconductor Field-Effect Transistor (MOSFET). The BJTs were first invented by Bardeen, Brattain, and Shockley in 1947 at the Bell Telephone Laboratories, 1,2 It is a three layer sandwich of differently doped sections, either N-type P-type N-type (NPN transistors) or P-type N-type P-type (PNP transistors). Although the field effect mechanism on which the MOS device is based on was first investigated in the 1930s, the first MOS device was invented by Dawon Kahng and Martin Atalla at Bell Labs in It was structured by putting an insulating layer on the surface of the semiconductor and then placing a metallic gate electrode on the insulating layer. It used crystalline silicon for the semiconductor and a thermally oxidized layer of silicon dioxide for the insulator. The MOSFET has achieved electronic dominance over other types of transistors including the BJTs devices. MOSFET s not only possess such technical attractions as low cost of production and ease of integration. The complementary metal-oxide-semiconductor 24

25 (CMOS) was invented by Frank Wanlass at Fairchild Semiconductor in A CMOS device is usually structured with an NMOS and a PMOS next to each other. CMOS has become the predominant technology in digital integrated circuits. This is essentially the case when the area occupation, operating speed, energy efficiency and manufacturing costs have benefited and continue to benefit from the geometric downsizing that comes with every new generation of semiconductor manufacturing processes. In addition, the simplicity and the comparatively low power dissipation of CMOS circuits have allowed for integration densities not possible on the basis of bipolar junction transistors. 4 Figure 1.1 Simplified cross section of an MOS transistor. Shown in Figure 1.1 is the simplified cross section of a PMOS transistor. A P- MOSFET consists of an n-doped silicon substrate with two highly p-doped contacts, the source and the drain. The so-called channel region in between is covered by an insulating layer, the gate-oxide, which is in contact with the gate electrode. Without applying a voltage at the gate electrode, no current can flow from source to drain as the pn-juctions between each contact and the substrate act as two opposite diodes. When applying a positive (negative) voltage at the gate electrode, the channel region close to the gate oxide 25

26 is "inverted" (i.e. from n-doped to p-doped), and hence current can flow between source and drain. Feature Size (um) in2002 m µ 90 nm in 2005 Transition Region Quantum Devices Atomic Dimensions Integrated Circuit History Year Figure 1.2 Historical trends and future projections for the minimum feature size used in integrated circuits in manufacturing. The continuous increase of the number of MOS transistors on the chip and decrease of the size of MOS have been observed over the past decades. The number of transistors on the chip roughly doubles every two years, which is known as Moore s Law. In the semiconductor industry, decreasing component size is often characterized by the critical feature size, or the minimum line width, which is usually defined as the smallest lateral feature size that is printed on the wafer surface during the fabrication process. Shown in Figure 1.2 is the change of the critical feature size over the past 45 years and extrapolated into the future. 4 Currently, integrated circuits with 90 nm critical feature size are in high volume production, and transistors are being fabricated with gate lengths less than 40 nm. Smaller MOSFETs are desirable for three reasons. First, smaller MOSFETs allow more current to pass. Conceptually, MOSFETs are like resistors in the on-state, and shorter resistors have less resistance, hence allow more current for the same voltage. Second, 26

27 smaller MOSFETs have smaller gates, and thus lower gate capacitance. These first two factors contribute to shorter switching times, and thus higher processing speeds. A third reason for MOSFET scaling is that reduced area leads to reduced cost. Smaller MOSFETs can be packed more densely, resulting in either smaller chips or chips with more computing power in the same area. Since the cost of fabricating a semiconductor wafer is relatively fixed, the cost of an individual chip is mainly related to the number of chips that can be produced per wafer. Hence, smaller MOSFETs allow more chips per wafer, reducing the price per chip. 3 Historically, the difficulties with decreasing the size of the MOSFET have been associated with the semiconductor device fabrication process. The past success was enabled by increasingly sophisticated materials selections and manufacturing techniques. A simple discussion of microelectronics processing is presented in section Microelectronics Processing The current microelectronics processing is originated from the planar process, invented by Jean Hoerni of Fairchild Semiconductor in the late 1950s. There are three key elements in the process. First, it relies on the gas phase diffusion of dopants to produce N- and P- type regions. Second, it relies on the ability of SiO 2 to mask the dopant diffusions. And third, it relies on the ability to pattern the SiO 2, which is generally accomplished by photolithography. 4,5 Photoresist Film to be patterned Si Substrate a) b) c) 27

28 Plasma d) e) f) Figure 1.3 Basic semiconductor process steps. (a) Film to be patterned is deposited on a substrate. b) The film is coated with a light sensitive polymer known as photoresist. c) The resist is exposed through a mask consisting of transparent and opaque regions defining the desired circuit features. d) After exposure, the resist is developed, leaving portions of the film masked by undissolved resist. e) The film is etched in a plasma process, leaving the areas protected by resist. f) The remaining resist is stripped, leaving a patterned film. These processes are then repeated over and over to build up the circuit layers. Shown in Figure 1.3 is the typical process of planar process used in current semiconductor processes. 6 To form a structure of another material on the substrate as in Figure 1.3(f), a film of that material is first deposited on the substrate. The typical deposition methods include thermal oxidation (for SiO 2 ), Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD) and Plasma-Enhanced Chemical Vapor Deposition (PECVD). 4 After the material film is deposited, a light sensitive polymer material known as photoresist is deposited on top of it. The photoresist is exposed to radiation through a mask. The exposed areas of the resist undergo a change in structure, and are washed away upon development (for a positive-tone resist). The remaining patterned resist on the wafer acts as a mask, protecting the underlying film material. This process is known as lithography. With the photoresist film as a mask, the deposited film is then etched away. The typical etching methods are either wet etching or plasma etching, as discussed in section Following the etch process, the remaining resist is stripped, leaving desired features remaining in the film. The steps, film deposition, lithography, and etch as shown in Figure 1.3, are repeated over and over to fabricate all necessary 28

29 components (transistors, resistors, capacitors etc.) on the chip to build up the complete integrated circuit. Figure 1.3 shows an example of using plasma for etching purpose. Further applications of plasma in semiconductor processing are discussed in section Applications of Plasma Introduction Plasma is a conductive assembly of charged particles and neutrals that exhibits collective effects and on average behaves electrically neutral. Plasma is the most common form of matter, comprising more than 99% of the visible universe, and permeating the solar system, interstellar and intergalactic environments. The plasma used in industrial application is usually weakly ionized, with the following features 7 : (1) collisions between the charged particles and neutral gas molecules are important; (2) there are boundaries at which surface losses are important; (3) ionization of neutrals sustains the plasma in the steady state; and (4) the electrons are not in thermal equilibrium with the ions. Plasma is widely used in the microelectronics fabrication, flat panel display fabrication, surface modification, cleaning, sterilization and many other industrial areas. Its application has been growing very fast in the past decades. In the microelectronics fabrication, about 40% of the steps uses plasma processes. These mainly include plasma etching 8 and Plasma Enhanced Chemical Vapor Deposition as discussed in and Plasma is also used in the ion source to generate the ions 9. Such ion sources are widely used in ion implantation 10. Plasma also begins to play an important role in the efforts of replacing 29

30 photolithography by Extreme-Ultraviolet Lithography (EUVL, wavelength 13.5nm) to create nanometer scale patterns Plasma is also used to treat the material surface vary the surface energy, wetability 14, printability 15, adhesion 16 and other commercially important properties of surfaces. This is because the active species produced by plasma are more energetic and more reactive than those produced in chemical reactors. These species make it possible to produce effects on the material surface that can be accomplished in no other way, or which are economically prohibited by other methods. 17 Corona discharges are widely used in this application 7, and Atmospheric Pressure Glow Discharges have found many application in this area as well In this section, three major applications related to the thesis work are discussed in detail. Section discusses the thin film deposition including the Plasma Enhanced Chemical Vapor Deposition (PECVD), as the background of remote plasma processing in which of the Transformer Coupled Toroidal Plasma source is widely used, as discussed in section General backgrounds regarding plasma etching is discussed in section Thin Film Deposition and Chamber Cleaning Chemical Vapor Deposition (CVD) and Physical Vapor Deposition (PVD) are the major two categories of methods used for thin film deposition. In each case, the substrate is placed in a deposition chamber, and the components of the deposited film are usually delivered through gas phase to the surface of the substrate and then form the deposited film. In CVD, chemical reactions happen among the reactant gases to deposit film on the substrate. In PVD, physical methods are used to produce the constituent atoms which pass through a low-pressure gas phase and then condense on the substrate. 30

31 Low pressure Plasma Enhanced Chemical Vapor Deposition (PECVD) is the major CVD method currently used in the industry. Although the atmospheric pressure chemical vapor deposition was used, the uniformity of the deposited film was found to be poor due to the gradient of species concentration across the wafer. The industry has hence moved to the low-pressure process at a pressure of about 1torr). Lowering the pressure increases the diffusion, decreases the species gradient across the wafer, and hence improves the wafer uniformity. For at least two reasons plasma helps the deposition process in the lower pressure PECVD method. One, plasma makes the chemical reaction possible in much lower temperature than non-plasma CVD by supplying additional energy from the plasma to the neutrals. This is especially important for film that can not stand the high temperature ( ) of non-plasma CVD. Two, the ion bombardment from the plasma to the film allows the film s properties such as composition, density and stress for particular applications to be more easily altered. The atomic fluxes in the PVD process can be formed by either evaporation or ion bombardments. In the evaporation method, the solid is heated up to a high temperature which causes evaporation to happen. In the ion bombardment method, plasma is formed in the chamber and the ions bombard the solid to release atoms and molecules into the gas phase. This method is sometimes also called sputtering deposition. Compared to the CVD process, the PVD process is generally more versatile, allowing for the deposition of almost any material. PVD with ion bombardment is another example that plasma is used in semiconductor industry. One of the problems for all thin film deposition process is the cleaning of the chamber. In all the deposition process, the same materials are deposited on the chamber wall as they 31

32 are deposited on the wafer in the chamber. As the film thickness increases, the mechanical stress at the film-wall interfaces increases. At sufficient thicknesses and stresses, the interface delaminates and produces flaking particles, which then leave the chamber wall and transit to the surface of the wafer. This is usually called particle contamination and it is the major mechanism for the point defect which causes malfunction of the final products 21. To avoid the problem, the typical procedure is to clean the chamber wall routinely to remove all deposited film. The chamber cleaning is usually the rate limiting step in the deposition process, and hence faster chamber cleaning increases the overall productivity. Over the past 20 years, the chamber cleaning procedure has evolved from periodic manual (wet) cleaning of the chamber wall to routine in situ plasma cleaning and, finally, to remote (or ex situ) plasma cleaning. In wet chamber cleaning, reactive solutions are used to wipe the chamber wall by hand. In both the in situ plasma cleaning and the remote plasma cleaning, plasma is used to create reactive radicals to remove the film on the chamber wall. The in situ chamber cleaning method is to generate plasma based on fluorine-rich discharges The etching is a combination of spontaneous isotropic chemical etching and ion induced etching. Typically, mixtures of C2F6 + O2 have been used to produce the high atomic fluorine concentrations needed for cleaning. CF4+O2 can be used, but the greater power density needed to break apart the CF4 has favored the use of larger fluorocarbons that fragment more easily in the plasma. Gas such as NF3 and SF6 that produce large atomic fluorine concentrations are also used. Currently, remote plasma sources for the production of fluorine atoms are widely used for chamber cleaning in the semiconductor processing industry, particularly in the cleaning 32

33 of chambers used for deposition. The use of remote plasma sources avoids the erosion of the interior chamber materials typical of in situ chamber cleans in which ions generated in the discharge sputter the wall surface. While capacitively and inductively coupled Radio Frequency (RF) sources, as well as microwave remote sources have been developed for these sorts of applications, the industry is rapidly moving toward transformer-coupled inductive sources in which the plasma has a toroidal configuration and forms the secondary of the transformer. The use of lower frequency RF power and magnetic cores enhances the inductive coupling; thereby allowing the more efficient transfer of energy to the plasma without excessive ion bombardment which limits the lifetime of the remote plasma source chamber interior. In section 1.3.3, remote plasma processing is discussed with more focus on remote chamber cleaning Remote Plasma Processing Remote plasma processing is different from direct plasma processing in at least two aspects: (1) the substrate is outside the plasma glow; (2) source gases injected downstream are prevented from returning to the plasma to change the property of the plasma by gas flow and process pressure. 33

34 Cleaning gases NF 3 Astron ex Remote source Deposition gases C 2 F 6 O 2 Ar Mass Flow Controllers Exhaust line Pump Throttle valve Chamber throttle valve Shower head Substrate Substrate Mount Process Chamber PUMP Exhaust Figure 1.4 Schematic drawing of industrial setup of using remote plasma source for chamber cleaning The plasma sources are used to generate reactive species which are then used to process the material in the downstream chamber. Oxygen atoms are generated to remove photoresist, a process called stripping 26. Fluorine atoms are generally generated to remove the deposited film in the downstream chamber. And some times hydrogen atoms are generated to clean the wafer in the downstream chamnber. Shown in Figure 1.4 is a typical set up for remote processing with chamber cleaning as an example. The main chamber is used for deposition process. Deposition gases go through the shower head in the deposition cycle. In the cleaning cycle, the valve between the chamber and the deposition gas line is closed and the valve between the remote plasma source and the chamber is open. Then the radicals from the plasma source enter the main 34

35 chamber through the showerhead or from the side of the chamber. After the cleaning process, the deposition process happens again. Both nitrogen trifluoride (NF 3 ) and fluorocarbon gases are used to generate fluorine atoms in remote plasma source. The most widely used gas to generate fluorine atoms is nitrogen trifluoride (NF 3 ). Nitrogen trifluoride dissociates near completely in a discharge and is not significantly reformed by recombination of the product species. The fluorocarbon gases include tetrafluoromethane (CF 4 ), hexafluoroethane (C 2 F 6 ), octafluoropropane (C 3 F 8 ), and octafluorocyclobutane (C 4 F 8 ). Compared to nitrogen trifluoride, fluorocarbon gases have the advantage of being non-toxic, easier to handle and of lower cost. The price of C 2 F 6, for example, is only about 20% of that of NF 3, for the same weight. Etching of semiconductor materials using remote plasma source has been studied since 1980 s. The etching rates of silicon and silicon dioxide by fluorine atoms, as reported in literature 8,27, are shown in equation (1.1) for silicon dioxide and equation (1.2) for silicon, SiO2 F b ER = n T exp( ev / k T ) A / min, (1.1) Si F b ER = n T exp( ev / k T ) A / min, (1.2) where n F (cm 3 ) is the atom concentration, T (K) is the wafer temperature. In both equations (1.1) and (1.2), the etching rate is linearly dependent on the fluorine atom concentration, or the partial pressure of fluorine. Good linearity was found for partial pressure of fluorine up to 0.2 torr. 27 Whether the same linearity holds at higher partial pressure remains a question and will be studied in section

36 1.3.4 Plasma Etching As shown in Figure 1.3, after thin films are deposited and photoresist masks are formed, the films are usually selectively removed by etching to leave the desired pattern of the film on the wafer surface. There are two main methods used in the semiconductor industry: wet etching and dry, or plasma, etching. In wet etching, the wafers are usually immersed into baths of liquid etchant solution and the exposed materials are etched by pure chemical processes. Wet etches were developed for all steps in the fabrication process. For example, silicon dioxide is commonly etched in hydrofluoric acid (HF) by reaction shown in the equation SiO + 6HF H SiF + 2H O (1.3) In dry etching, gas phase etchants in plasma are used to removed the exposed materials. 8 Wet chemical etching is almost entirely superseded by dry plasma etching processes due to the decrease of feature size and the limitation of wet etching. Figure 1.5(a) shows a poor pattern transfer when the sample is wet-etched. Since the etching medium attacks the etched layer isotropically, the materials are removed horizontally under the mask at the same rate as that the etched layer is removed vertically. The process was fine before 1980 when the critical dimension was over 2µm. When the size of the feature gets smaller than 2µm, the process can not be used anymore because the mask would be entirely undercut by the etching fluid before it reached the substrate when the width of the mask horizontally is less than twice the thickness of the layer to be etched, since. 36

37 Ions (a) (b) Figure 1.5 Plasma etching in integrated circuit manufacture: (a) example of isotropic wet etching; (b) illustrating the role of bombarding ions in anisotropic dry etching. Plasma etching, or dry etching, has become the dominant etching process ever since 1980s due to its great pattern transformation. Figure 1.5(b) shows an example where a substrate with patterned mask is etched in plasma. Usually RF glow discharge plasma generates reactive species (both neutral radicals and ions), which then impinge on the mask and the exposed etched layer. Because the mask is relatively unetchable, it remains in place to shield the layer below it from bombardment by active species originating in the plasma. An important feature of the plasma etching process is that the etching occurs vertically due to the enhancement of ion bombardments. Another aspect of plasma etching that should be considered is the selectivity, meaning that the layer intended to be etched is actually etched, not the mask or the substrate. 37

38 Figure 1.6 Data of Coburn and Winters on the interaction of 450 ev argon ions with silicon, with and without the presence of xenon difluoride gas. The etching rate prior to 200 s is due to chemical etching by xenon difluoride gas. The 450 ev argon ion beam was turned at 200 S, producing the increased silicon etching rate shown. After the xenon difluoride was pumped out of the system at 650s, only the etching rate caused by argon ion sputtering is evident. When a surface is exposed to both chemically reactive neutral which can react with a surface to produce a volatile product and ion bombardments, the combined ion and neutral fluxes often etch more rapidly than surfaces exposed to only the neutral beam or the ion bombardments. Shown in Figure 1.6 is a beam experiment performed by Coburn et al in which the etching rate was monitored when xenon difluoride and argon ion beam were turned on and off 28,29. The etching rate prior to 200 s is due to chemical etching by xenon difluoride gas. The 450 ev argon ion beam was turned on at 200 S, producing the increased silicon etching rate. After the xenon difluoride was pumped out of the system at 650 s, only the etching rate caused by argon ion sputtering is evident. It can be seen that the combination of both ions and fluorine source results in an etching rate that is quite synergistic and exhibits an etching yield that is an order of magnitude greater than physical sputtering. In plasma, both ions and reactive neutrals are generated and the ion 38

39 bombardment is directional. The area with exposure to ion bombardment will have much higher etching rate than the area without the exposure, causing a vertical anisotropic etching pattern as in Figure 1.5(b). Figure 1.7 Inductively coupled plasma etcher with full wafer interferometry (FWI) and optical emission spectroscopy (OES) diagnostics. The pancake coil is powered by a MHz rf power supply to generate the plasma, and the bottom electrode is powered by another rf power supply to control the ion bombardment energy. A typical plasma etcher with some diagnostic capability is shown in Figure The plasma is generated by inductive coupling. The AC current passing through the top coil generates time-varying magnetic field, which then causes electric field in the gases. Free electrons are accelerated and then excite and dissociate molecules to form energetic ions and reactive neutrals. Plasma sheath is formed between the plasma and surrounding surface, with electric field which repels electrons and accelerates ions. Ions are accelerated across the plasma sheath with high energy, impinge on the surface with high directionality, and enhance the surface reaction as demonstrated earlier. To further change the ion bombardment energy, another RF power is applied on the bottom electrode, which is 39

40 usually cooled by helium gases. Full Wafer Interferometry (FWI) is used for etching rate and uniformity study 31 and Optical Emission Spectroscopy (OES) is used to monitor the plasma. There are two approaches for experimental studies on plasma processing. One is to construct a complete reactor as in Figure 1.7, diagnose the plasma parameters, measure the plasma etching performance and then back out the surface reaction mechanism. A collaborative experimental effort was initiated at a workshop at the 1988 Gaseous Electronics Conference (GEC) to understand the fundamental physics of processing plasma, as well as to give researchers a baseline experiment to develop plasma diagnostics to be used on manufacturing plasma systems 32. The design was based on the usage of 4 in. diameter, aluminum electrodes in a parallel plate configuration at MHz. Many research based on similar etchers was carried out to study the etching of silicon and other materials The drawback of these studies is that it is difficult to resolve so many possible simultaneous reactions on the surface. 36 The second type of experiments is beam experiments, in which individual neutral and ion beams are generated and the reaction mechanisms are studied. Started from the ion beam etching in early 1980s 37,38, beam experiments were set up to study the etching performance of silicon and silicon oxide etching in F/Ar + beam or CF 2 /Ar + beam Beam experiments were set up to study the silicon etching in chlorine or bromine beams 42-44, the silicon or silicon oxide etching in more complicated fluorocarbon radical beams 45,46. The advantage of beam experiments is that they separate the contribution of different radicals from the plasma. Therefore, the beam experiment data are the best set of data for modeling surface kinetics, as described in section

41 1.4 Transformer Coupled Toroidal Plasma (TCTP) for Remote Plasma Processing Different plasma sources were developed for remote plasma process, as discussed in section Microwave plasma generator was one of the earliest developed tool for remote stripping and chamber cleaning The input power and coupling efficiency of the microwave reactor were limited. RF plasma source similar to a plasma etcher was developed for remote processing 51, but the low power coupling efficiency makes it less usable. Recently, Transformer-Coupled Toroidal Plasma (TCTP) source was developed as an emerging tool for such semiconductor processings as etching, cleaning and stripping 52. Figure 1.8 shows the most widely used equipment fabricated by MKS ASTEX 53. The plasma is contained in a donut-shaped toroidal chamber. Bridge of MOSFET s forms the power section. RF voltage is generated by the switching action of these paired MOSFETs (A & B) alternate ON and OFF cycles. Then the output of the MOSFETs is connected to the primary winding of the transformer. Then the RF power is transferred to the plasma via a transformer core with the plasma serving as the secondary loop. The major application of the Transformer Coupled Toroidal Plasma source is for remote chamber cleaning and the major input gas is nitrogen trifluoride (NF 3 ). Figure 1.4 shows that the plasma source is used to generate fluorine atoms which are transferred to the downstream chamber to etch the deposited material on the chamber wall. Figure 1.4 shows possible fluorine containing gases are NF 3 and fluorocarbon gases like C 2 F 6. In the industry, the most widely used gases are NF 3 instead of fluorocarbon gases. 41

42 Figure 1.8 Diagram of the Transformer Coupled Toroidal Plasma made by MKS ASTEX. Left: Schematic diagram. Right: Schematic of the power unit. For a number of reasons, the semiconductor industry has shifted to NF 3 for remote chamber cleaning, away from mixtures of fluorocarbons with oxygen, which initially were the dominant gases used for in situ chamber cleaning. First, the emissions of global warming gases from such processes were commonly much higher than that of nitrogen trifluoride (NF 3 ) processes. NF 3 dissociates more easily in a discharge and is not significantly formed by recombination of the product species. Therefore, low levels of global warming emissions can be achieved more easily. In contrast, fluorocarbons are more difficult to breakdown in a discharge and recombine to form species such as tetrafluoromethane (CF 4 ) which are even more difficult to break down than other fluorocarbons. Secondly, it was usually observed that the etching rate or cleaning rate when using fluorocarbon discharges is much smaller than that when using NF 3 discharges. This was ascribed to incomplete dissociation of the fluorocarbon gases. Thirdly, it was commonly found that fluorocarbon discharges produced polymer depositions that require more frequent wet cleans to remove these deposits that build up after repetitive dry cleans 54. The propensity of fluorocarbon cleans to deposit polymers occurs to a greater extent in remote cleans in which no ion bombardment occurs during the cleaning. These 42

43 observations dissuaded the industry from developing industrial processes based on fluorocarbon feed gases. In fact, the PECVD equipment manufacturers tested remote cleans based on fluorocarbon in glow RF discharges, but to date have been unsuccessful because of low cleaning rate and polymer deposition in the process chambers 55,56. One object of the present research is to study the application of high power density TCTP for remote chamber cleaning with fluorocarbon based chemistry. Whether the reason for the fluorocarbon chemistry based remote cleaning to be much worse than NF 3 based remote cleaning is because of incomplete dissociation of fluorocarbon or some other reasons is addressed. Furthermore, new method to improve the performance of fluorocarbon iss invented such that the etching rate of the fluorocarbon plasma becomes comparable to that of the NF 3 plasma. Polymer deposition problem associated with fluorocarbon plasmas are also discussed. The high power density TCTP is different from other low-temperature plasma studied before, like DC glow discharge, DC arc discharge, inductively coupled RF discharge, capacitively coupled RF discharge and microwave discharge. TCTP source operates with AC power, similar to industrial RF discharges and different from DC discharges. The power density of TCTP is as high as 20W/cm 3, making it much higher than the typical power density of less than 0.2 W/cm 3 of other discharge. The neutral gas temperature in TCTP is as high as a couple of thousand Kelvin, which can only be matched by DC arc discharge and which is much higher than the neutral gas temperature in other discharges. In the toroidal chamber of TCTP, no electrode exists and hence no thermionic electron emission is involved, which makes it very different from DC arc discharge where electron emission is important for plasma formation 17,57. The plasma in TCTP source are of glow 43

44 discharge property, with smooth spatial profile of plasma parameters. The glowing discharge property of TCTP is similar to the DC glow discharge used in cylindrical normal glow discharge for lighting devices 57, parallel plate source 58, and beam plasma sources 17. Pure inductive coupling is guaranteed 21 in TCTP, quite different from both capacitivelycoupled RF discharge and inductively-coupled RF discharge in which the capacitive coupling is an important component of the plasma formation. One of the object of the thesis is to understand the physics of the plasma and its parameters by improved diagnostics and modeling. Very limited scientific studies have been done on the high power density TCTP. Plasma diagnostics are set up to measure the parameters and modeling is applied to understand its properties. 1.5 Surface Kinetics Modeling Quick and accurate modeling of plasma processing is essential to meet the growing demands for process optimization and integration, particularly for new materials and new tools. 59,60 Modeling of plasma processing can be separated into three parts: plasma modeling, surface kinetics modeling and profile simulation. Experimental operation conditions such as gas composition, flow rate, plasma power, bias power and chamber geometry can be simulated by a plasma model to compute the neutral radical flux and composition, ion flux and composition, and ion bombardment energy. 61 This information can then be used by the surface kinetics model and the associated substrate material, to calculate the etching rate, etching selectivity, surface concentration and reaction product fluxes. The surface kinetic rates from the model can then be incorporated into the profile simulator, combined with the material and topology of the substrate surface, to predict the etching profile The plasma model, surface kinetics model and profile simulator are not 44

45 independent from each other. Instead, they are coupled together to form an integrated and complicated system. For example, the reaction product calculated from the surface kinetics model will enter the plasma phase and hence change the composition in the plasma model. 62 One topic of the thesis is a generic model of plasma-surface surface interactions. In 1982 Coburn et al conducted the earliest surface kinetics model in which the ratio of carbon to fluorine atoms was used to decide whether the plasma processing was in the etching or deposition regime. 65 With the growth of plasma processing in semiconductor processing, more surface kinetic models were proposed since then. The most commonly used approach is to treat the surface as a mixture of surface species which interact with each other and with the gas phase. 61,62,66-69 The difficulty of this approach is that there are too many reactants and reactions with unknown rates and too little experimental data to uniquely fit the rate coefficients. In this approach, each surface species is formed from the plasma fluxes, the surface reactions occur, and finally volatile products are released back to the plasma. Most of these reactions rates have not been experimentally measured, and are not easily measured due to the difficulty of independently measuring them. Furthermore, the large number of unknown reaction rates in comparison with the existing etching data (which consists of highly correlated flux variations with process condition changes) make any fitting process by this approach quite arbitrary. Molecular dynamics simulation is a much more fundamental and potentially accurate approach for surface kinetics modeling. So far it has been used to explain successfully many phenomena in silicon etching experiments However, molecular dynamics simulation has the difficulties of slow simulation speed and long development time. The 45

46 ever increasing computational power must be used to expand the molecular dynamics simulation into any new and more complicated system and would require a great effort to correctly compute the inter-atomic potentials of any new atomic species. Active site modeling was developed much earlier and exhibited fast and successful modeling of the etching process of simple beam experiments such as silicon etching by chlorine atoms and Ar ions or chlorine ions. 39,41 Active site model is a phenomenological model, which balances the active sites on the surface due to ion induced reactions and chemisorption. It is straightforward and successful in the simple situation where only one ion beam and one neutral beam are used to etch a simple substrate like silicon. The active site model becomes increasingly complicated and somewhat arbitrary when handling the more complicated chemistry, e.g. even silicon etching in chlorine plasma ,76,77 Neural network modeling has been adopted to model the surface kinetics to achieve quick modeling to satisfy rapid emerging of new materials and new tools. 78,79 But the lack of a physically based model makes this approach unable to predict results beyond those conditions that were measured in most situations. The angular dependence of etching processes is an important issue and has not been included in any model. The angular dependence of ion induced etching yield is significantly different from that of physical sputtering. The sputtering yield is maximized at large off-normal angle. 80 Different types of angular dependence of etching yield have been observed in the past. During silicon etching in chlorine plasma, the etching yield is constant from 0 to 50 degree off-normal angle and then drops rapidly beyond 60 degrees. 42,43,81 Etching yield of silicon in HBr was found to decrease slowly from small off normal angle. 42,43,63,81 There are also reports that the etching yield has a cosine dependence on off- 46

47 normal angle Angular dependence similar to that of physical sputtering was observed in low-k dielectric material etching. 86 Angular dependence of etching yield is very important because a small change of the angular dependence was found to cause large changes in the etching profile, causing different trenching or undercutting. 30,63 Therefore, it is important to include angular dependence in surface kinetics model and then use if for etching profile simulation. Therefore, there is an urgent need to set up a new, fast and accurate surface kinetics model to better describe the interaction between the surface and the plasma. 1.6 Scope and Objectives of this work The scope of this research work is to gain a basic understanding of the Transformer Coupled Toroidal Plasma; to study the kinetics and limitations of species production by the remote TCTP source; and to set up a generic surface kinetics model. The first object is to charaterize the TCTP source. The experimental apparatus will be discussed in Chapter 2. Chapter 3 systematically measured the dependence of plasma parameters, such as the neutral gas temperature, the electron temperature, the electron density and the species concentration, on the operation conditions of the plasma. A good match was observed between the experimental measurements and the prediction of a simplified global plasma model. The plasma model included the heating of the electron gas by a uniform electric field, the loss of energy from the gas to determine the electron temperature, ion and electron losses at surfaces, and formation and loss of species by the electron impact and surface recombination.. The second object is to understand the kinetics and limitations of species production by the remote TCTP source. Five topics related to plasma-surface interactions are discussed in 47

48 Chapter 4: 1) the enhancement of the silicon and silicon dioxide etching rates by surface treatment with nitrogen containing gas addition in the remote fluorocarbon plasmas; 2) the replacement of the nitrogen trifluoride by the fluorocarbon gases for the remote plasma processing; 3) the dependence of the etching rate of the silicon dioxide on the operation parameters using the remote fluorocarbon plasma; 4) the saturation of the etching rate of the silicon dioxide with the partial pressure of fluorine atoms ; and 5) the different effects of the additive gases on the etching rates of the silicon dioxide film and the silicon nitride film. The third object is to set up a fast and accurate surface kinetics model that is generic and can be incorporated into profile simulators for process simulation. The model must correctly consider all the physical processes that occur during etching/deposition; easily incorporate new materials and new tools; be able to explain experimentally measured etching performance including angular dependence; and be fast to calculate and fast to construct and implement. Such a new framework for surface kinetics modeling is presented in Chapter 5. The experimental apparatus is described in Chapter 2, followed by Plasma characterization described in Chapter 3. The effort of replacing NF 3 by fluorocarbon gases for remote plasma processing in Chapter 4.. The generic surface model is discussed in Chapter 5, and the thesis is concluded and future work is discussed in Chapter 6. 48

49 Chapter 2 Experimental 2.1 Introduction System Setup Figure 2.1 shows the detailed schematic drawing of the experimental apparatus. It is composed of a main process system and a diagnostic system. Z X Y Capacitance Manometer Sapphire viewport Optical Fiber 4-Way Cross OES 35 Transfer Tube Monochromator CCD Cooling Water out Cooling Water in Computer Cooling Water in Cooling Water out 1 Butterfly Valve Ferrite Core Gas Inlet NF3/Ar CxFy/O2/Ar And other gases 7.2 PLASMA SOURCE Throttle Valve He-Ne Laser QCM Showerhead PROCESS CHAMBER 4 Wafer Wafer Holder Turbon Pump Computer Interferometer Photon meter Mass Spec Chamber Mass Spec Turbon Pump Computer Temperature Controller N2 Purging Gas Blower Pump Boc Edwards iqmb1200f Dry Pump Boc Edwards iqdp 80 FTIR Roughing Line Computer Exhaust Line Figure 2.1 Detailed Schematic Drawing of Experimental Apparatus The main process system is composed of a transformer coupled toroidal plasma source, a four way cross, a transfer tube with water cooling, a process chamber and pumps. Capacitance manometers right after the plasma source and on the process chamber are used to measure the pressure at different locations. The butterfly valve between the transfer tube 49

50 and the process chamber, and the throttle valve between the process chamber and the pumps, are adjusted to relatively independently control the pressure in the plasma source and the process chamber. The vacuum system is sealed by Klamp-Flange fittings 87, and Kalrez or Chemrz O-rings are used to reduce the corrosion of O-ring in the fluorine-rich environment. The typical process for gas to go through the system is as the following. Gas molecules such as nitrogen trifluoride enter the toroidal source chamber, in which plasma is formed and the gas molecules are dissociated to form electrons, ions and radicals such as fluorine atoms. The electrons and ions are annihilated in the transfer tube and the reactive radicals are transferred to the downstream process chamber after being cooled down in the transfer tube. The radicals then etch the semiconductor materials on the electrode of the process chamber. Typical samples studied in this thesis are polycrystalline silicon, silicon dioxide and silicon nitride. Finally all the gaseous particles are taken away by vacuum pump. The diagnostic system is used to measure the properties of both the plasma source and the downstream system. Optical Emission Spectroscopy (OES) system is used to measure the illuminated light from the plasma. Mass Spectrometer is used to measure the radical concentration in the process chamber. Finally, the Fourier Transformed Infrared spectroscopy (FTIR) is used to measure the stable species concentration in the exhaust. Both a laser interferometer and a Quartz Crystal Microbalance (QCM) equipment are used for real-time etching rate measurements. Outside the system, an X-ray Photon Electron Spectroscopy (XPS) is used for surface species concentration measurements, and an Atomic Force Microscopy (AFM) is used for surface topology measurements. 50

51 Shown in Figure 2.2 is the actual picture of part of the experimental apparatus. The plasma source (the black box), the transfer tube (the silver tube), the process chamber and the mass spectrometer chamber are shown in the figure. The pumps and and the gas delivery system are not shown here. Except for the plasma source and the pumps, all other components are home-designed and fabricated. Figure 2.2 Actual picture of the experimental apparatus. The black box is the transformer coupled toroidal plasma source. In this chapter, detailed descriptions of each component of the apparatus are presented. The toroidal transformer-coupled plasma source is discussed in section 2.2 and the vacuum system including the transfer tube, the process chamber and the pumps are described in section 2.3. Different diagnostic methods mentioned above are then discussed in section Toroidal Transformer-Coupled Plasma Source The plasma source used in this experiment is an ASTRON ex unit (Model Number: Ax7640) made by MKS ASTEX 52. The plasma source is such a compact device that all 51

52 components including a plasma vacuum chamber, electronics and a water cooling system are in a single enclosure, unlike microwave-based system that requires several individual components to be assembled into a subsystem. The plasma chamber wall is made of aluminum with inner surface coated with a thick Al 2 O 3 layer to prevent Al from being etched by strong fluorine plasma. Four dielectric breakers are designed in the chamber wall to avoid inductive current in the chamber wall during the transfer coupling between the primary circuit and the plasma. A patented special gas nozzle mixes gases before sending them into the plasma chamber. Water cooling system is incorporated in the chamber wall to allow high power operation of the plasma source. Typical water flow rate used in the experiments is 3 gpm. The source has an integrated electronic control and power supply system, and it is rated for continuous operation at the frequency of 400 khz. The outlet pressure is measured at ports immediately downstream of the source utilizing a capacitance manometer gauge. Plasma is produced by transformer coupling between the ferrite core and gases flowing inside. The plasma source is operated on the basis of a constant current, and the voltage of the plasma is changed automatically according to the dissociation rate and resistivity of the added gases. The commercial plasma source is modified to allow plasma loop voltage and current measurements. The plasma loop voltage and current are calculated from that measured across the ferrite core based on negligible (<5%) loss of magnetic flux. Voltage is directly measured by Lecroy Oscilloscope (model: 9414) and the current was measured by a Pearson Current Sensor (model: 3972). Plasma power and resistivity can be calculated based on the voltage and current waveform. Shown in Figure 2.3 is an example of the 52

53 measured waveform of current and voltage for the plasma from the gas mixture of 1250 sccm C 2 F 6, 3750 sccm O 2 and 2000 sccm Ar at the source pressure of 3.9torr. The power is calculated to be 7.14kW Current Voltage 110 Voltage (V) Current (A) Time (10-6 s) Figure 2.3 Waveform of current and voltage for plasma at conditions 1250 sccn C 2 F sccm O sccm Ar at source pressure 3.9 torr. The total power calculated to be 7.14 kw. Another power measurement method is to measure the total power that goes into the plasma source, which includes the power coupled into the plasma and the power consumed by the electronic circuit boards. The plasma source operates with a three-phase 208 V electrical power outlet. By measuring the current going through each phase, the total power can be easily calculated. Current through one phase of the wiring is measured by Craftsman current meter (model: 82014). For the same condition as shown in Figure 2.3, the current is measured to be 22.6 A and the total power consumed by the whole plasma source is 8.14 kw. Therefore, the efficiency of power usage for plasma generation is as high as 87.8%. The power utilization efficiency is very much higher than typical inductively coupled plasma apparatus, 53

54 The current plasma source also has a very high power density. The volume of the plasma chamber is 360 cm 3 and for a power of 7.15kW, the power density is 20 W/cm3. Compared to a typical industrial plasma source (power density <0.1W/cm 3 ), the power density of the TCTP source is 2 orders of magnitude higher. The extremely high power density makes the plasma source very unique and its parameters very different from other plasma sources. 2.3 The Vacuum System The vacuum system is composed of a four-way cross, a transfer tube, a process chamber and pump sets. The four-way cross is connected to the outlet of the plasma source. On the opposite flange of the four-way across is a sapphire viewport for optical emission measurement, as shown in Figure 2.1. On another flange of the four-way across are two capacitance manometers for pressure measurements. One of them is within range 20 torr (model: MKS Baratron), for the pressure measurement during plasma processing and another one is with range 100 torr (model: MKS 122AA), for pressure increasing rate measurement during the calibration of mass flow controller, as discussed below. Finally, the last port is connected to the transfer tube. The purpose of the transfer tube is to cool down the reactive gases. It is composed of two coaxial tubes with cooling water running through them. The detailed mechanical drawings of the apparatus are shown in Appendix A. The tubes are made of aluminum with the surface coated by aluminum oxide film to reduce surface recombination. The water flow rate for the external tube and the internal tubes are both about 2 gpm. 54

55 Almost no ions or electrons will survive in the long transfer tube by the time it reaches the process chamber. Reactive neutrals, however, can survive in the transfer process. Therefore, only neutral radicals enter the process chamber and participate in etching. The process chamber is an aluminum cylinder with 8 diameter and 10 height. In the current set up, gases enter the process chamber from the top flange. The butterfly valve between the top flange and the transfer tube is used to adjust the conductance and hence the pressure drop between the plasma source and the process chamber. The bottom flange holds a 4 heating electrode, which is separated from the bottom flange by a 4 ceramic ring. The temperature of the electrode is controlled by 6 Omega heaters (model: OSH ) and natural cooling methods such as diffusion to air or the chamber.. Therefore, the minimum temperature the electrode can reach is the room temperature about 25 o C. The electrode temperature is measured by a temperature probe on the backside of the electrode. Samples are placed on the top surface of the electrode with high vacuum grease (model: Apiezon H) used between the sample and the electrode to increase the heat conductivity such that the sample temperature is approximately equal to the electrode temperature. There are eight ports on the side wall of the process chamber. The port in the back of the chamber is connected to the pumps with a throttle valve between. The port in the front is a door for chamber inspection and sample placing. Sample can be sent in or taken out of the chamber by opening the door. The two ports with 45 o respect to horizon on the side are covered by sapphire view ports and they are used for laser interferometry. One port is used for pressure measurement by the capacitance manometer with range 10torr (model: MKS Baratron). One port is connected to N 2 gas line for purging and chamber opening purpose. 55

56 One port is connected to the mass spectrum chamber with an aluminum angle valve in between. Finally, one port is used for Quartz Crystal Microbalance (QCM) measurements. In most experiments, a shower head is added in to the process chamber. The shower head baffle is attached to the bottom of the top flange and it is a DIA 4.5 plate with 69 Φ1/8 holes uniformly distributed at a distance of 0.4 between neighbors. The showerhead can increase the pressure drop between the remote plasma source and the downstream process chamber. It also helps to make the incoming gas flow more uniform. Pressure drop can be used to quantitatively estimate the effective gas flow rate after the input gas is dissociated by the plasma. For our system, the Knudsen number, the ratio between the mean free path and the equipment scale size, is about 0.005, which is in the transition regime but very close to the viscous flow regime. Assuming that the viscous flow equations are appropriate for this case and assuming the pressure dependence of the viscous flow orifice is similar to that of a transfer tube, we may be able to use the relationship 88 : 2 2 ( 2 1 ) Q = K P P, in which Q is the flow rate, K is a constant not related to conductance and P 1,2 represents the downstream and upstream pressure, respectively. Figure 2.4 shows the dependence of the difference between the upstream pressure square and the downstream pressure square on the process chamber pressure. This gives us a reasonably flat dependence as desired and can be used to determine the effective flow rate. With known 4.2slm flow rate for O 2 with plasma off and the ratio between these lines, the effective dissociated gas flow rate for 667 sccm NF 3, 1333 sccm NF 3, 250 sccm C 4 F 8 and 500sccm C 4 F 8 mixtures are: 6.5slm, 8.72slm, 9.8slm and 13.2slm, respectively. The main contribution to the effective flow rate for C 4 F 8 mixture may be from the dissociation of 56

57 C 4 F 8 and O 2. Of course, the effective dissociated flow rate was overestimated with our assumptions, but reasonably good for the first order approximation. P 2 source-outlet -P2 Process-Chamber (Unit Torr2 ) slm O2+Ar with plasma off 667sccm NF3+3333sccm Ar with plasma on 1333sccm NF3+2667sccm Ar with plasma on 250sccm C4F8+1750sccm O2+2000sccm AR with plasma on 500sccm C4F8+2833sccm O2+667sccm Ar with plamsa on Process Chamber Pressure (Torr) Figure 2.4 Dependence of the difference between upstream pressure square and downstream pressure square on the process chamber pressure Two sets of pumps were used in the experiments. In earlier period, a pump set composed of an Edwards EH1200 roots blower and an Edwards E2M80 mechanical pump was used. Fomblin fluorocarbon pump oil is used in the E2M80 pump, which is called wet pump sometimes. The pump set was replaced by a dry pump package for higher pumping speed and to avoid any usage of pump oil for better Fourier Transformed InfraRed spectroscopy (FTIR) measurement as discussed in section 2.6. The vacuum system is inspected to be leaking tight by an Alcatel Helium leaking detector (model: ASM 110TCL) with the leaking rate less than 3µ10-9 atm cm 3 /s. Leaving the vacuum system isolated for two months, the pressure in the system rises from 10 mtorr to about 1 torr, mainly due to the desorption of gas on the chamber wall. 57

58 9000 Nominal Flow Rate (sccm) y = x Pressure Rising Rate (Torr/S) Figure 2.5 Dependence of Flow Rate on the pressure rise in the system with flow rate determined by the nominal gases as calibrated by manufacturer. The discrete points are data measured from nominal gases for different MFCs. For other gases other than nominal gases, the pressure rise was measured to determine its flow rate. The vacuum system can be used to calibrate the Mass Flow Controller (MFC) for nonnominal gas application. For example, when passing CF 4 gas through an MFC nominally calibrated for C 2 F 6, appropriate calibration should be carried out to get accurate flow rate information. When the vacuum system is isolated from the pump, the pressure rising rate is proportional to incoming gas flow rate. Figure 2.5 shows the linear dependence between the nominal flow rates for four different mass flow controllers. With the linear relationship, flow rate of any non-nominal gas can be calibrated by its conresponding pressure rising rate. 2.4 Optical Emission Spectroscopy (OES) Optical emission from the plasma was collected by a collector made of iris, lens and optical fiber. With the adjustable iris, the collection diameter in these experiments was around 3mm and collection solid angle was approximately 2 o. The collector was fixed on a 58

59 two-dimensional ball-bearing linear stage, which made spatially resolved measurements along X and Z directions possible, as shown in Figure 2.1. Therefore any plasma parameter measured will be an average of the étendue of the collector along Y direction. Two optical analysis systems were used in this research, an ISA Jobin-Yvon system and a Jarrel-Ash system. The Jobin-Yvon system was composed of an ISA HR640 monochromator with 0.75m focal length (sn: N FEV 89/244), a Spectral Link controller with an MDR module, a Rr Photon Multiplier Tube, a Hamamatsu c665 DC supply, a Keithley 485 Picoammeter and a data acquisition board on a Pentium PC. The grating turret of the monochromator is controlled by a Spectral Link MDR module which was connected to the Com connector of PC. Light signal is then changed to electric current signal by Rr PMT, whose DC bias is provided by Hamamatsu DC supply. This electric current signal is collected by picoammeter which gives voltage signal as an output. The data acquisition board samples the voltage signal and change signal to digital form and stored in the memory of PC. Scanning and data acquisition are all controlled by customized Lab Windows program. With easily adjustable entrance and exit slits, a high resolution can be achieved. Figure 2.6 shows the measured spectrum by the Jobin Yvon system for a 632.8nm He-Ne laser. The scanning step was 0.1Angstrom, and the resolution was found to be around 0.2 Angstrom. The wavelength of the Jobin-Yvon system and the Jarrel-Ash system can be calibrated by standard atomic lamps. By measuring the spectra of standard Mercury or Argon lamp, identifying the actual wavelength of each spectrum peak, and then fitting the real wavelength to the appeared wavelength, an accurate wavelength can be achieved. Figure 59

60 2.7 shows the peaks measured by Jobin-Yvon system for a mercury lamp in the range of 3000A to 7000A after the wavelength calibration. The Jarrel-Ash system was composed of a 0.25m focal length Jarrel-Ash monochromator, a Hamamatsu C7041 Charge Coupled Device (CCD) and a personal computer with a data acquisition board. The entrance slit can not be continuously controlled. Shown in Figure 2.8 is the Optical spectra in the range of nm measured by Jarrel-Ash for an Ar plasma produced by the Astron unit under the condition of 1.5 slm flow rate and 2 torr plasma source pressure. Spectra at 4272, 4510 and 4545 Angstrom of Ar atoms are marked in the figure. The optical resolution is found to be around 0.2nm FWHM. 2.0 Spectrum of He-Ne lamp by Jobin Yvon FWHD=0.2 Angstrome 1.5 x= y=1.323 Intensity(a.u.) 1.0 x= y=0.49 x= y= Wavelength(Angstrome) Figure 2.6 Spectrum measured by Jobin Yvon system for He-Ne lamp. 60

61 5 Spectrum of Mercury lamp by Jobin Yvon Intensity(a.u.) Actual: (reads: ) ( ) ( ) ( ) ( ) ( ) ( ) Wavelength(Angstrome) Figure 2.7 Spectra measured by Jobin Yvon system for mercury lamp in the range of 3000A to 7000A after wavelength calibration. For each peak, the actual wavelength is marked above and the appeared wavelength is marked in the parenthesis Intensity (A.U.) Wavelength(Angstrom) Figure 2.8 Optical spectrum in the range of nm measured by Jarrel-Ash for an Ar plasma produced by Astron unit under condition of 1.5SLPM and 2 Torr. 61

62 Quantitative information of the intensities of each peak as shown in Figure 2.8 needs the calibration of the detection sensitivity. This includes the transmission sensitivity of the view port and the optical fiber, and the efficiency of the grating and the detection CCD or PMT. A calibrated standard white light source (Ocean Optics, model: LS-1-CAL) was placed in front of the view port to measure the sensitivity of the whole system. The Jobin-Yvon system had good optical resolution but slow scanning speed, and the Jarrel-Ash system had a very fast data acquisition speed but worse optical resolution. For the interested range of spectrum, the Jobin-Yvon system typically took 20 minutes to finish one scan, while the Jarrel-Ash system can finish it in less than one second. The Jarrel-Ash system was used for most experiments in the thesis. 2.5 Line-of-Sight Mass Spectrometer The mass spectrometer system is used to measure the concentration of neutral particles in the process chamber in our system. It is composed of a mass spec chamber, two turbo pumps and a mass spectrometer. The schematic drawing of the mass spectrometer system and its connection to the main chamber and the pumps are shown in Figure 2.9. The mass spectrometer chamber is attached right on the process chamber, with only an aluminum valve between the process chamber and the mass spectrometer chamber. There are two mass spec valves related to the mass spec system: one is between the process chamber and the mass spectrometer chamber and the other is between the mass spectrometer chamber and the pumps. These two valves are closed to make the mass spectrometer isolated when the mass spec is not sampling. This is especially the case during the sample handling when the mass spectrometer has low pressure and the process chamber is of atmospheric pressure. During the mass spectrometer 62

63 experiments, the valve between the process chamber and the main pumps are closed and the two mass spec valves are open. This procedure guarantees a strong convection flow outside the orifice entrance of the mass spectrometer chamber. Strong convection helps to reduce the residence time of gas passing through such that fluorine will have less chance to recombine on the surface. Process Chamber Mass Spec chamber Mass Spec Main Dry Pump Turbon Pump Turbon Pump Roughing Line Figure 2.9 schematic drawing of the mass spectrometer system and its connection to the main chamber and the pump set The mass spectrometer chamber consists of two sections to hold the mass spectrometer in a differentially pumped system. The gas from the process (around 1-10torr) is sampled through a diatmeter orifice into the front section. The section is pumped through a Leybold corrosive service 360 l/sec turbo pump (model: TurboVac 361c). The abstracted beam is then channeled trhough a beam skimmer cone (Ni with 0.05 orifice; Model 1 manufactured by Beam Dynamics, Inc.) into the second section with the mass spectrometer. The second section chamber is pumped by another Leybold turbo pump (model: TurboVac 361c). The two turbo pumps are supported by an Edward E2M40 roughing pump. The 63

64 mass spectrometer chamber is equipped with an ionization gauge (model: MKS HPS) for measuring the pressure. The diffusion pumping system makes the pressure in the first chamber around torr and the pressure in the second chamber torr during measurements. The mass spectrometer used in the system is a quadrupole model made by UTI (model: UTI 100C) 89. It is composed of three major components of ionizer, mass filter, and detector. The electrons are emitted from the filaments within the ionizer and energy of ev is applied to electrons in this work. The filament current was maintained to about 1.9 ma. Gas molecules are dissociated and ionized by electrons when passing through the ionizer to become positively charged ions that are then injected into the filter section. A combined radio-frequency and electrostatic field is formed by two pairs of metal rods in the filter section. A charged substance with a specific mass-to-charge ratio has a dynamically stable trajectory within the field formed by the voltages on the rods. All other substances are filtered out. Then the species coming out of the filter are detected by an electron multiplier that amplifies the single charge of the ion into a current. The applied voltages on the filter rods are continuously changed to allow different ions to pass through and the output current of the multiplier is referenced against the scan voltage, thereby producing a spectrum of peaks. Figure 2.10 shows sample mass spectra for 2000 sccm NF sccm Ar gas mixtures with the plasma on or off. Ion current as a function of the mass/charge ratio in a series of peaks corresponding to different ions is shown in the figure. The amplitude represents the quantity of each type of ion. Discrete peaks occur because the charged substances have discrete masses and discrete charges. The pressure in the process chamber 64

65 is 1torr and the pressure in the Mass Spec chamber is 1.5µ10-7 torr. Without plasma, except for Ar +, the major peaks are NF + 3, NF + 2, NF + with small N + 2 and F +, representing the dissociative ionization in the mass spectrometer ionizer. With the plasma on, the only observable peaks are F +, N + 2 and F + 2, marking complete dissociation of NF Ar+ Without Plasma With Plasmas Intensity (a.u.) F + N 2 + NF + F 2 + NF 2 + NF Ion Peak Mass to Charge Ratio Figure 2.10 Sample Mass Spectra for 2000sccm NF sccm Ar gas mixtures with plasma on or without plasma. The pressure in the process chamber is 1torr and the pressure in the Mass Spec chamber is torr. Without plasma, except for Ar+ the major peaks are NF 3 +, NF 2 +, NF + with small N 2 + and F +, representing the dissociative ionization in the mass spectrometer ionizer. With plasma on, the only observable peaks are F +, N 2 + and F 2 +, mark complete dissociation of NF 3. The characteristics of the mass spectrometer and the dissociation pattern of the particles must be determined carefully for any quantitative measurements with the mass spectrometer. The measured peak intensities should be corrected for ionization efficiency (a combination of ionization cross-section and ionization potential), multiplier gain, and quadrupole transmission efficiency for each species. Usually the molecules are dissociated and ionized to multiple ions, therefore the destruction pattern is important to quantitatively determine the concentration of the original molecule. This is especially the case when the detected gas has both the molecules and its dissociated fraction, like the case with F 2 65

66 molecule and F atom in Figure In this thesis, the mass spectrometer results are compared to FTIR results for better understanding of the observed phenomena. 2.6 Fourier Transform InfraRed Spectroscopy Fourier Transform InfraRed (FTIR) spectroscopy is another method to measure the species coming out of the plasma source using the principle of the resonant interaction between the infrared light and the vibration mode of molecules. As shown in Figure 2.1, it is attached to the exhaust of the pump, hence sampling all the stable species that come out of the pump. Figure 2.11 Schematic Setup of Fourier Transformed InfraRed spectroscopy. The top mirror is fixed and the right mirror is moving. FTIR spectrometry uses the technique of Michelson interferometry, as illustrated in Figure A beam of IR radiation from the source is focused on a beam splitter, where half the beam is reflected to a fixed mirror and the other half of the beam is transmitted to a moving mirror which reflects the beam back to the beam splitter from where it travels, recombined with the original half beam, to the detector. The IR intensity variation with 66

67 optical path difference (interferogram) is the Fourier transform of the (broadband) incident radiation. The IR absorption spectrum can be obtained by measuring an interferogram with and without a sample in the beam and transforming the interferograms into spectra. The molecules have different vibrational energy levels, since the bond between the atoms can bend or stretch. If the bond is subjected to infrared radiation of a specific frequency (between cm -1 ), it will absorb the energy, and the bond will move from the lowest vibrational state, to the next highest. The energy corresponding to these transitions between molecular vibrational states is generally 1-10 kilocalories/mole which corresponds to the infrared portion of the electromagnetic spectrum. In a simple diatomic molecule, there is only one direction of vibrating, stretching. This means there is only one band of infrared absorption. Weaker bonds require less energy, as if the bonds were springs of different strengths. If there are more atoms, there will be more bonds, and therefore more modes of vibrations. This will produce a more complicated spectrum. Homo-nuclear diatomic molecules (N 2, F 2 ) do not have an infrared absorption spectrum, because they have no dipole moment and hence does not interact with electromagnetic wave. Figure 2.12 shows absorption intensity as a function of wave number for carbon dioxide gas 90. If no radiation is absorbed at a particular frequency, the fraction of absorbed light is zero at the corresponding wave number. Different types of bonds have characteristic regions of the spectrum where they absorb. Every molecule has a unique pattern. If an unknown sample produces a spectrum which matches that of a known compound, the sample can be confirmed to be that compound. 67

68 Because the strength of the absorption is proportional to the concentration of the corresponding species, FTIR can be used for quantitative analyses. Usually these are rather simple types of tests in the concentration range of a few ppm up to the percent level. Intensity (a.u.) Wave number(cm -1 ) Figure 2.12 Sample FTIR spectrum for CO 2 Gases. The Y axis is the absorption intensity and the x axis is the wave number, which is related to the transition energy. The FTIR system used in the experiments is I Series FTIRs fabricated by MIDAC, inc. A 1650K silicon carbide IR source is mounted. The detector is made of Mercury Cadmium Telluride which requires continuous cooling by liquid nitrogen.the gas cell body is constructed of nickel-coated aluminum with windows made of moisture and chemical resistant ZnSe. And all other interface optics are gold coated for high throughput. Gases are sampled from the exhaust of the pump, and passing through the gas cell of the FTIR and are taken away by an aspirator with water operation in a vented hood. The selection of wet or dry pumps greatly affects the FTIR measurement. Figure 2.13 shows the measured C 4 F 8 gas concentration in the exhaust without plasma dissociation to check the response of the FTIR measurements. Figure 2.13(a) is the results for wet pumps when 125, 250 and 375 sccm C 4 F 8 were added in the system in a row. Figure 2.13(b) is the result for dry pumps. Notice the added purge and dilution N 2 gases are different in these 68

69 two cases. It is obvious that the concentration changes much faster with dry pumps than with wet pumps. The response time for wet pumps is about 50 s while the response time for the dry pumps is only 2 seconds. This is mainly because the Fomblin pumping oil in the wet pump is usually made of fluorocarbon material, which absorbs the fluorocarbon gases such as C 4 F 8 when pass through and releases them slowly. The dry pump has no oil for the gas path, and therefore avoided the problem. This makes it clear that the dry pump is more preferable for FTIR measurements. Exhaust Gas C4F8 Concentration (PPM) (a) Time(s) Exhaust Gas C4F8 Concentration (PPM) (b) Time(s) Figure 2.13 Measured C 4 F 8 concentration in the exhaust gas by FTIR for (a) the wet pump set and (b) dry pump set. For (a) 20 slm N 2 gas was used as dilution gas, 125 sccm C 4 F 8 was added at time 120s; 250sccm C 4 F 8 was added at time 325 s; 375sccm C 4 F 8 was added at 510 s; and the C 4 F 8 was closed at 670 s. For (b), 46 slm N 2 gas was used as purging and dilution gas in the dry pump; 125 sccm C 4 F 8 was added at time 12 s; 250 sccm C 4 F 8 was added at time 23 s; 375sccm C 4 F 8 was added at time 35s; and C 4 F 8 gas was turned off at 43s. Compared to the mass spectrometer, FTIR has the advantage of being non-destructive and molecules do not have to be broken for the measurements. Therefore it is easier for a quantitative analysis than the mass spectrometer. However, since the FTIR is placed after the pumps, it can only measure the stable species but not the reactive radicals which tend to recombine when passing through the pumps. Another disadvantage of FTIR is that it can not measure the homonuclear diatomic atoms such as F 2 and N 2, which can be measured by the mass spectrometer. Therefore, results measured by FTIR and the mass spec are analyzed together to gain a better understanding. 69

70 2.7 Laser Interferometry A simple home-made laser interferometry is used to measure the etching rate of different films mw He-Ne laser (632.8nm wavelength) is used as the incident light, and a photometer (model: Metrologic Photometer) is used to detect the light reflected from the sample surface. Then the signal is collected by the data acquisition board on a PC. The interference between the beam reflecting from the top of the film and from the film substrate interface, give rise to a sinusoidal signal which can be used to calculate the etching rate Interferometry Intensity Time (s) Figure 2.14 Interferometry signal for etching rate measurements. The gas mixture is composed of 4000sccm NF3 and 2000 sccm Ar. The plasma source pressure is 3.9 torr and the process chamber pressure is 2 torr. Silicon dioxide sample is put on the electrode with temperature 50C. The peak distance is used to calculate the etching rate. Using standard interferometry analysis 91, the etching rate can be calculated as: λ ER =, (2.1) t n sin θ where λ is wavelength in Å, ER is the etching rate in Å/min, n is the refractive index of the film, θ is the angle of the laser from the normal, and t is the time period of the 70

71 observed waveform in minutes. The refractive index is 1.45 for silicon dioxide, 3.85 for silicon and 2.05 for silicon nitride. A simpler way to calculate the etching rate is to realize that one full period in the interferometry data represents 1905 Å for silicon dioxide, 808 Å for silicon, and 1348 Å for silicon nitride. Shown in Figure 2.14 is the Interferometry signal for etching rate measurements. The gas mixture was composed of 4000sccm NF 3 and 2000 sccm Ar. The plasma source pressure was 3.9 torr and the process chamber pressure was 2 torr. The silicon dioxide sample was put on the electrode with the temperature controlled at 50 o C. The measured period is about 52 second, which is corresponding to the etching rate of 2180 Å/min. 2.8 Quartz Crystal Microbalance Quartz Crystal Microbalance (QCM) is also used for the etching rate measurements. It detects small changes in the mass by measuring the resonant frequency of a single quartz crystal. There is a close formula to relate the change of the resonant frequency to the change of mass on the crystals, and its accuracy can reach atomic scale level 92. The QCM system used in the experiment is made by Sycon Instruments (STM-100). The detector is placed on the side port of the chamber and the signal is analyzed by the monitor to yield etching rate information. The advantage of QCM is that its time resolution is much better (0.25s) than the laser interferometry, which requires at least half a period to have a good measurement (a resolution of about 20s). The disadvantage of QCM is that films have to be deposited on the detection crystal and the process can be very time consuming while the laser interferometry doesn t require any extra efforts. 71

72 Initially, the etching rates measured by these two methods were compared and the etching rates were found to be close to each others. Then laser interferometry was the major method used for most data taken. 2.9 X-Ray Photoelectron Spectroscopy (XPS) To characterize a sample s surface composition, X-Ray Photoelectron Spectroscopy (XPS) is used externally. A typical XPS setup is shown in Figure In the XPS operation, X-rays are irradiated on the material surface and the photoelectrons emitted from the surface are analyzed. The kinetic energy is related to the binding energy by K. E. = hν B. E., (2.2) in which KE.. is the measured kinetic energy of the photoelectrons, BE.. is the binding energy of the photoelectrons in the material, and hν is the energy of the incident X rays (ignoring work function effects). The binding energy can be derived with known X-rays energy and measured kinetics energy, and hence indicate the type of atom it is attached to and then the chemical identity of the surface species is identified 92. The X-ray source used in this work is a Mg Kα with energy eV (model Specs 865). The electron energy analyzer usually scans across a range of energies and plots the photoelectron numbers at each energy step. Each peak is identified to be corresponding to a specific atom. For example, the fluorine 1s peak is located at ev binding energy. 72

73 Alkα or Mgkα X rays Electron Energy Analyzer Sampling Depth θ Sample Figure 2.15 Schematic of typical XPS operation. X rays impinge on a sample surface, releasing photoelectrons that are detected by an electron energy analyzer. By measuring the kinetic energy of the electrons, the chemical composition of the surface can be determined Atomic Force Microscopy Atomic Force Microscopy (AFM) is used to measure the topology of the material surface. It operates by using an atomic scale tip that is kept within a few Angstrom of the sample through a feedback loop and a piezoelectric scanner. Feedback loop to maintain constant cantilever amplitude Controller Laser X, Y Scanner Z Scanner Photodiode Oscillating Cantilever Reflected Laser Sample Figure 2.16 Digital Instruments 3100 Tapping Mode AFM operation. 73

74 The AFM used in this work is a Veeco 3100 AFM made by Digital Instruments located at the MIT Center for Materials Science and Engineering. Figure 2.16 shows the schematic drawing of the AFM operation. A silicon cantilever with a sharp tip (sometimes the tip is made of carbon nanotube), oscillating near its resonance frequency, has its amplitude changed when approaching the sample surface due to van der Waals interactions. A laser is reflected off the cantilever onto a photodiode to monitor the amplitude. During the tapping mode operation, the amplitude is fixed and serves as an input to a feedback loop to change the vertical position of the cantilever. By scanning the cantilever with fixed oscillation amplitude, the topography is recorded Summary The experimental apparatus was discussed in this chapter. The main process system is composed of a transformer coupled toroidal plasma source, a four way cross, a transfer tube with water cooling, a process chamber and pumps. The diagnostic systems are composed of Optical Emission Spectroscopy, Fourier Transformed InfraRed spectroscopy, Mass Spectrometer, Laser Interferometry, Quartz Microbalance Balance, X-ray Photoelectron Spectroscopy and Atomic Force Microscopy. 74

75 Chapter 3 Plasma Characterization 3.1 Introduction The plasma formed in the Transformer-Coupled Toroidal Plasma (TCTP) source is characterized in this chapter by both experimental measurements and a simplified model. Section 3.2 discusses the techniques of measuring the electron temperature and the atomic species concentration. The neutral gas temperature in the TCTP was unknown with no literature references as to its estimation or measurement. The knowledge of the neutral gas temperature assists the understanding of the plasma physics; first, the neutral gas temperature is inversely related to the neutral density and therefore the electric field to density ratio that determines in part the electron temperature of the discharge. Second, the translational/rotational and vibrational temperatures of the neutrals affect the rate of thermal pyrolytic dissociation of the species in the plasma. Therefore, knowing the temperature is essential in determining the relative importance of the electron impact dissociation and the pyrolytic dissociation in this remote source. This work was the first measurement of the neutral gas temperature of a toroidal geometry with the high power density as that in the TCTP. The neutral gas temperature of the argon plasma was found to be around 2000K, by fitting the rovibrational bands of diatomic molecules like N 2 to obtain its rotational temperature. Assuming that the rotational mode and the translational mode are in equilibrium since the discharge pressure is high and energy is rapidly exchanged by two-body collision, the Ar neutral temperature is the same as the rotational temperature of N 2. Section 3.3 discusses the assumption and procedure for the neutral gas temperature measurement. Section 3.4 discusses some practical issues of the 75

76 neutral gas temperature measurement, including the selection of rovibrational bands, the perturbation of added trace gas on the plasma and the dependence of the neutral gas temperature on the optical resolution. Section 3.5 discusses the first reported application of the Swan bands of the C molecule ( d Π a Π ) in determining the neutral gas temperature of the fluorocarbon g u plasma. The neutral gas temperature measurements using C 2 molecules were validated by comparing temperature measurements made using the rotational spectra of N 2 added to the discharge. Sufficient C 2 molecules were formed in a discharge formed from mixtures of C 2 F 6, O 2 and Ar at high power densities (15W/cm 3 ) to allow temperature measurements. The high plasma pressure was sufficient to equilibrate the rotational modes of the excited states of C 2 and the translational modes of both the N 2 and C 2 neutral gases. A simplified global plasma model with detailed plasma kinetics, the mass balance equations of each species and the energy balance equations is presented in section and section for the argon plasma and the NF 3 plasma, respectively. Compared to other more rigorous 2-D or 3-D models, the simplified model ignores the concentration gradient, the temperature gradient in the plasma and the calculation of electromagnetic field. The model solves the mass balance and the energy balance equation with detailed interactions of the species in the plasmas phase and the between the plasma and the surface. And the model gives a reasonable estimation of the neutral gas temperature, the neutral species concentration, the electron temperature, the electron concentration and the ion concentration. The model uses the experimentally measured neutral gas temperature to fit the heat transfer coefficient and hence determines other plasma parameters to be compared to the experimental measurements. 76

77 The characterization of the argon plasmas formed in a gas mixture of 2000 sccm argon at the pressure of 2 torr and the power density of about 5.5 W/cm 3 found that the electron temperature, the electron density and the neutral gas temperature to be about 2 ev, 6.0µ10 12 cm -3, and 1700K, respectively, as discussed in section 3.6. Experimentally, the spatial profile of the neutral gas temperature was found to be parabolic across the plasma toroidal cross-section with the maximum rather than at the mid-point of the cross-section, nearer the center of the toroidal loop, due to the higher electrical field, and thus, heating rate nearer the center of the toroidal loop center. The neutral gas temperature seemed to have a logarithmic dependence on the source pressure, similar to other observations in the literature. A good match of the pressure dependence of the electron temperature was found between the model prediction and the experimental results. The model further predicted that the neutral gas temperature and electron density dramatically increases with the plasma power, while the electron temperature changed little. An NF 3 plasma, formed with a gas mixture of 667 sccm NF 3 and 2000 sccm Ar at the power density of 10W/cm 3 and at the pressure of 2 torr had a neutral gas temperature, a electron temperature and an atomic fluorine concentration of about 2700 o K, 1.7 ev and 3µ10 15 cm -3, respectively, as discussed in section 0. A global plasma model with 51 plasma phase species, 272 plasma-phase reactions and 21 plasma-surface reactions was constructed. The atomic fluorine concentration was found to linearly increase with the plasma source pressure, as both measured in the experiments and predicted in the model. The model also predicted that the dissociation to form fluorine atoms increased with pressure and saturated at 4 torr. This is consistent with the observation that the etching rate of the oxide film, in a 77

78 constant process chamber pressure, increased with the plasma source pressure until about 4 torr and then stayed constant. The translational/rotational neutral gas temperature of the fluorocarbon plasma was measured to be above 5000K and a linear dependence between the temperature and the plasma power was observed, as discussed in section 3.8. The high neutral gas temperature was justified by the power balance calculation. The linear dependence between the neutral gas temperature and the plasma power was probably caused by conduction to chamber wall and the convection, both of which exhibit linear relations between the power loss and the gas temperature. The dependence between the neutral gas temperature and the plasma source pressure, and the dependence between the neutral gas temperature and the oxygen flow rate were believed to reflect the linear dependence of the temperature on the plasma power. An Arrhenius-like of dependence between the etching rate and the neutral gas temperature was observed, which suggests the importance of the pyrolytic dissociation in the plasma. 3.2 Measurements of Electron Temperature and Atomic Species Concentration As a non-intrusive diagnostic method, Optical Emission Spectrum (OES) is widely used to measure the plasma parameters in the plasma. This section describes the techniques of measuring the electron temperature and the atomic species concentration Electron Temperature Measurement It has long been established to use the optical emission spectroscopy to estimate the electron temperature. The ratio of one spectral line from the argon atom and one from the 78

79 argon ion was used to calculate the electron temperature 93 by the equation developed by D. Hope s 94. The ratio of two spectral lines of argon atoms was used to determine the electron temperature later 95. A similar method with more spectral lines from the argon atom and other inert atoms was developed to better estimate the electron temperature and the electron energy distribution function In this work, the electron temperature was estimated by the ratio of two spectral lines from the argon atoms in the plasma. Different spectral lines are associated with the transition from different excited states to the ground state. The major excitation mechanism in a plasma is electron collisional excitation. Therefore the ratio of the intensities of different spectral lines contains the information of the electron energy. And hence the electron temperature can be estimated by assuming certain electron energy distribution function. Figure 3.1 is the optical spectra in the range of Å measured as measured from the plasma produced with a gas mixture of 333 sccm C 2 F sccm O sccm Ar at the pressure of 2 torr. The atomic origins and the wavelengths of most peaks are marked in the figure. Spectral lines at 7504Å (2p1) and at 7515 Å (2p5) of argon atoms and spectral line at 7038 Å of fluorine atoms are highlighted as the spectra used for the electron temperature and the atomic fluorine concentration measurements. 79

80 Relative Intensity (a.u.) Ar F F F Ar Ar F Ar Ar Wavelength(Angstrom) Figure 3.1 Optical spectrum in the range of Å measured by Jarrel-Ash for a plasma produced with a gas mixture of 333 sccm C 2 F sccm O sccm Ar at the pressure of 2 Torr. For three reasons, the 2p1 and 2p5 spectral lines of argon atoms are selected for the electron temperature measurement. First, these two spectral lines are from different excited states, and their cross sections have different dependencies on the electron energy. Second, there are reliable cross section data available. And third, there are no spectra from other species in the argon plasma, the nitrogen trifluoride plasma, and the fluorocarbon plasma that are too close to the 2p1 and 2p5 spectra lines to be distinguished by the optical resolution of the apparatus (2.5 Å). The principle of the electron temperature measurement is demonstrated with the optical spectra lines at 7504 Å and at 7515 Å as an example. Under the assumption that the excitation by the meta-stable state atoms is negligible and all the electron collisional excitation originates from the ground state, we have 80

81 I n n b σv = I n n b σv 7504 e A e A b = b σv σv α α α α, (3.1) in which I 7504 and I 7515 represent the measured optical emission intensities at the wavelength of 7504 Å and 7515 Å and are calculated by the area under the peak shown in Figure 3.1; n e and n A represent the densities of the electrons and the ground state atoms and they are cancelled out in the final formula; α 7504 and α 7515 are the optical response of OES system at 7504 Å and 7515 Å and they are measured by the standard white light source as discussed in section 2.4; b 7504 and b 7515 are the corresponding branch ratios; σ v and v σ are the corresponding rate coefficients and they are related to the electron temperature. Assuming that the electron energy follows the Poisson distribution, the rate coefficient σ v can be calculated by the equation kbte σv = σ ( E) Ee de π m 0 e kbt, (3.2) e E in which m e is the electron mass; k B is the Boltzman constant; T e is the electron temperature; and σ ( E ) is the electron collisional cross section as a function of the electron energy E. Two different approaches were used to measure the cross sections of the electron impact excitation from the ground state 101,102. In the first approach, the optical emission from a discharge was measured to derive the excitation cross section. In the second approach, mono-energetic electrons were shot into the gases composed of neutral atoms, 81

82 and the scattered electrons over all angles were measured to estimate the excitation cross section. The difference between these two approaches is the cascade effects 103. The cascade effects represent the process that electrons are excited to higher energy state and then successively de-excite to a series of states of lower energies. The cross section obtained from the first approach includes the cascade effects, while the cross section measured in the second approach did not. Since cascade effects are usually present in the plasma, the cross section data from the first approach should be used in the electron temperature measurements. σv The dependence of the ratio 7504 σv 7515 on the electron temperature is shown in Figure 3.2, as calculated with the cross-section data measured by Ballou and Lin 104,105. Figure 3.2 indicates that the measurement of the electron temperature is reasonable when the electron temperature is in the range of 1-5 ev, which is believed to be satisfied in the current plasma. The electron temperature is estimated to be about 1.8 ev for the plasma shown in Figure 3.1. The intensity ratio between the 7504 Å and the 7515 Å spectral lines was about The sensitivity ratio between the 7504 Å and the 7515 Å spectral lines was measured to be about The branching ratio b 7504 and b 7515 are both one. The corresponding σv 7504 σv 7515 ratio was calculated to be 1.20 by equation (3.1). Then according to Figure 3.2, the electron temperature was estimated to be 1.8 ev. 82

83 Ratio Te(eV) Figure 3.2 Dependence of the ratio of <σv> s for the 7504 Å (2p1) and 7515 Å (2p5) spectral lines on the electron temperature. The error associated with the electron temperature measurement may be as high as ±1 ev due to the systematic error of neglecting the excitation process from the meta-stable state atoms and the radiation trapping. However, the trend measured by this method should still be useful in understanding the plasma properties Measurement of Atomic Species Concentration The concentration of the atomic species is usually measured by actinometry method In actinometry technique, a small concentration of argon or other gases of known concentration is added into the plasma. By comparing the relative intensity of the emission from the reference gas and the intensity of the emission from the species of unknown concentration, the concentration of that species can be estimated. The atomic species concentration, with fluorine as an example, is estimated by the ratio of the intensity of the fluorine spectrum and the intensity of the argon spectrum, as shown in the equation 83

84 n I K = F Ar F nar IAr K, (3.3) F in which n F and n Ar are the fluorine atom and argon atom concentration; I F and I Ar are the spectral intensities of the fluorine and argon atom emission; K Ar and K F are the electron excitation constant. K s are related to the branching ratio and the rate coefficient σ v as shown in equation (3.2). Note that the electron density has been canceled out. The selection of specific spectral lines from the fluorine and from the argon atoms is to guarantee the least electron temperature dependence of KAr K F. The typical selection of the spectral lines for the fluorine atomic concentration measurement is the peak at 7038 Å of the fluorine atom and the peak at 7504 Å line of the argon atom, as shown in Figure 3.1. The typical spectral lines chosen for the oxygen atom concentration measurement is the 8446 Å line of the oxygen atom and the 7504 Å line of the argon atom. The typical value of the ratio of KAr K F is about For at least two reasons, the appropriate choice of the wavelengths is important in successfully using the actinometry method. First, the cross sections of the electronic excitation corresponding to the two optical lines should have similar threshold energy and similar shape in their dependence on the electron energy 106. Any variation of the cross section tends to increase the error of the measurement. In practice, the electron impact ionization and the dissociation processes fix the electron temperature in low-density plasma. At the low power density, a small fraction of the gas is dissociated and little variation is observed with the power, the pressure, or the electrode spacing. Therefore, the actinometry has method been found to work very well even if the excitation cross sections are not well matched. Second, the actinometry method has been shown to fail in cases that the excited 84

85 species is created by the electron impact dissociation instead of the electron impact excitation. In such a process, the light intensity is proportional to the precursor gas rather than the species from which the light is emitted. Certain wavelengths of oxygen have been shown to originate from the electron impact dissociation 110. This determination was made using other techniques such as Laser Induced Fluorescence (LIF) or Infrared (IR) Absorption spectroscopy to independently determine the atomic species concentrations in a plasma. 3.3 Neutral Gas Temperature Measurements Introduction In the past few decades, plasma diagnostics have generally focused less on the neutral gas temperature than other plasma parameters such as electron density and electron temperature, due to the belief that it can be roughly estimated by the environmental temperature and that it is a minor contributor to the chemical kinetics of the plasma. However, it has been more extensively studied in recent years due to its importance in different areas of industrial plasma research. In reactive ion etching, the neutral particle density changes as a result of the neutral gas temperature changes for constant pressure processes, which in turn can cause the neutral to ion flux ratio and hence the etching rate and profile to change. In plasma modeling, knowledge of the neutral gas temperature helps understanding of the power consumption and the electron temperature 111,112. In the area of plasma sources with high power density used for remote processing and abatement, the neutral gas temperature is important in weighing the relative importance of the pyrolytic 85

86 dissociation to the electron collisional dissociation and in finding the optimal operation conditions Temperature is the parameter used to characterize the energy distribution. For a nonthermal system like plasma, different temperatures must be used to represent different energy modes, e.g. the neutral translational temperature for the translational motion of neutral particles, the rotational temperature for the rotational mode of molecules, and the vibrational temperature for the vibrational mode of molecules. The neutral gas temperature is usually corresponding to the translational temperature of the neutral particles. The neutral gas temperature is one of a few important parameters to characterize the plasma in a high power plasma source like the TTCP where the gas temperature is much higher than the room temperature. The knowledge of the neutral gas temperature is important to understand the plasma physics, since the neutral gas density is related to the neutral gas temperature and the ratio of the electric field and the neutral density is related to the electron temperature. Knowing the neutral gas temperature also helps to understand the plasma kinetics, such as the relative importance of pyrolysis dissociation compared to the electron impact dissociation. It is a significant experimental challenge to determine the neutral gas temperature in a discharge. Conventional thermocouples cannot be used inside the discharge because of the electrical interference and the surface recombination effects that lead to inaccurate measurements. The alternative temperature measurement methods rely on the optical techniques. The spectroscopic techniques are non-intrusive and have been widely used in the diagnostics of both combustion 116 and plasma 117. The difficulties of spectroscopic techniques are usually associated with data analysis. 86

87 There are three common spectroscopic methods for the neutral gas temperature measurements: the absorption method, the laser-induced fluorescence (LIF) method, and the optical emission method 118. The absorption method requires the laser or other light sources to have a very narrow bandwidth. The laser-induced fluorescence technique usually requires the monochromator to have a very high resolution since the Doppler broadening of the spectrum is small due to the low neutral gas temperature in the low-temperature plasma. The optical emission method, however, has much lower requirement which can be easily satisfied in most laboratories. The reason is that usually only the vibrational transition of the molecules should be resolved. The wavelength difference of the neighbor vibrational transitions is generally around 3nm, much bigger than the 0.2nm resolution easily achieved by most monochromators. The absorption method and the laser-induced fluorescence method usually monitor the species on the ground electronic state, while the optical emission method provides the information about the excited electronic state. This is an important difference because the ground states are often in equilibrium with the environment, but the excited states might not be. Among different methods that are used for the neutral gas temperature measurements 119, the method of fitting the rotational and vibrational spectra of emission lights of diatomic molecules is the most convenient one. Among all spectra of diatomic molecules, the second 3 3 positive system of N 2 ( C Π B Π ) is the most popular one due to the abundant u g literature resources and the extensive comparison to more accurate method such as the Doppler shift of Laser Induced Fluorescence 120. When N 2 is a feed gas of the plasma or a natural reaction product, this method is found to be convenient and reasonably accurate 121,122. When there is no N 2 available in the plasma, two approaches are usually 87

88 adopted by different researchers. The first is to add a trace amount of N 96,111,123 2, and the second one is to use other diatomic species in the plasma, which depends on the specific plasma chemistry. Using N 2 as the trace gas and fitting the rovibrational bands to decide the neutral gas temperature were proposed by G. P. Davis and R.A. Gottscho in , following the earlier N 2 discharge studies ,124. It was adopted by A.C. Stanton and H. Sawin to study chlorine plasma in plasma etching reactor 125 and more recently, by V. M. Donnelly and M. V. Malyshev to study inductively coupled plasma for silicon etching 111. Other researchers used this method to study different types of plasma. Jamroz and Zyrnichi reported results from 2 to 4 torr DC or RF capacitive coupled plasma 126, A. Bibinov measured neutral temperature of barrier discharge 127, and E. Tonnis and D. Graves systematically studied the neutral gas temperature as a function of pressure in a inductively coupled plasma abatement device in the range of 10 mtorr to 1 torr 123. Section discusses the assumptions for using the optical emission method for the neutral gas temperature measurements. The key assumption is that the neutral translational temperature should be in equilibrium with rotational temperature of molecules in the plasma. Then section dicusses the general procedure for the rovibrational band fitting with the second positive band of N 2 as an example Basic Assumptions To use the optical emission method to measure the neutral gas temperature, the following assumptions must be satisfied. The first and most important assumption is that the translational temperature equals the rotational temperature of the excited states of the diatomic molecules. Two types of 88

89 equilibrium are necessary for this assumption to be satisfied. One, the translational mode of the molecules must be in equilibrium with the rotational mode of the ground states of the molecules. Two, the rotational mode of the ground states must be in equilibrium with the excited states of the emission spectra. For the added trace gas N 2, the two equilibria can be satisfied in most situations. This is because the energy exchange between the translational mode and rotational mode can be near the kinetic efficiency limit since two-body collision happens very frequently at sufficiently high pressure 128. In contrast, the vibrational temperature is very different from the translational temperature, since the translational and vibrational modes do not exchange energies as efficiently because a three-body collision is required and happens less frequently. Early experiments have proven that translational temperature equals the rotational temperature of the C 3 Π u state of N 2 by comparing results from laser-induced fluorescence and the rovibrational band fitting 120. However, for discharge generated species like CF in the plasma, although the first equilibrium criteria may hold, the second equilibrium criteria may not be satisfied. The excited states of the reaction products can be either formed by excitation from the ground states or by dissociative excitation. When dissociative excitation is significant, the production of rotationally hot dissociation products can increase the apparent rotational temperature of the excited states; therefore, the rotational temperature may not be directly related to the translational temperature. The second assumption is that there is only one simple rotational distribution within a vibrational level. It is possible to find a complex rotational distributions or a completely unthermalized distribution within a vibrational level under specific conditions. For example, 89

90 the bimodal distribution is a case of complex distribution often represented by a combination of two thermal rotational distributions as shown in equation (3.4). EJ ' EJ ' NJ ' A kt A B rot1 kt B rot2 = 1 f g e + J ' 2 f g e J ' N0 T 1 T 2 rot rot, (3.4) where N 0 is the total rotational population in the vibrational level, A is a constant, and f 1 and f 2 are the fractions of the rotational population described by T rot1 and T rot2, respectively. Examples of bimodal rotational distributions can be found in several studies 129. They were believed to be the result of either two production mechanisms or one production mechanism followed by a partial rotational relaxation. The third assumption is that all the vibrational levels have the same rotational distribution. This has to be satisfied when using a whole series of band for neutral gas temperature measurements. This assumption is generally satisfied when the first assumption is satisfied when all the rotational mode is in equilibrium with the translational mode. The fourth assumption is that the fluorescence quantum yield is the same for all the rotational levels. This assumption implies that the quenching rates are about the same for all rotational levels, which is a realistic approximation in the flame and the plasma where the predissociation rates are negligible compared to the quenching rates; The fifth assumption is that the spin-orbit and the lambda doublet levels are in equilibrium. Some rotational levels in the diatomic vibrational states are split into a fine structure of multiple levels, which originates from the coupling of the angular momentum N with the spin S of the electron and the Λ-doubling (the coupling of the electronic orbital angular momentum L with the nuclei rotation). However, the energy differences between these levels are extremely small compared to the energy gap between different rotational 90

91 levels. The population of these nearly degenerated levels is generally in equilibrium and the spin-orbit and lambda doublet levels are in equilibrium in the plasma General Procedure and Examples The general theoretical procedures are going to be described in this section with second positive bands of N 2 as an example. Two methods are going to be described: one, fit only one rotational band with rotational temperature and the other, two, fit the whole series of rovibrational bands with both rotational and vibrational temperatures. Emission light from molecules is related to the transition from higher energy level to the lower energy level. Shown in Figure 3.3 are a few rovibrational bands of C 3 Πu B 3 Πg transition of N 2. For a diatomic electronic transition from upper state (E,v,J ) to lower state (E,v,J ), different rovibrational bands come from different v v transition where J J becomes unable to be distinguished due to the limited optical resolution. Notation in the figure represents the vibrational quantum number of higher and lower energy states. We can choose either fitting only one rovibrational band with the rotational temperature, or fitting a series of rovibrational bands with both the rotational temperature and the vibrational temperature 123. For example, we can either choose one rotational band like 1-0 or the same series of bands like 1-0, 2-1, 3-2 and 4-3 ( ν= -1 series). The advantage of fitting the whole series is that it may increase the experimental accuracy due to averaging. But this advantage must be based on the assumption that the same rotational distribution can be used for different vibrational levels. 91

92 12 (a) Intensity (A.U.) '-2" 4'-3" 2'-1" 1'-0" 0'-0" wavelength(angstrom) Intensity (A.U.) (b) '-2" 1'-3" 1'-4" 0'-3" wavelength(angstrom) Figure 3.3 Rovibrational bands of C 3 Π u B 3 Π g transition of N 2. (a) Wavelength range Å and (b) Å The procedure of fitting a whole series rovibrational band will be described here and the procedure for single rovibrational band fitting is similar with the removal of the vibrational part. Four steps are involved. First, the wavelength of each J ' J " transition 92

93 and v' v" transition inside one series of rovibrational bands must be calculated. The selection rule is J = 0, ± 1 and hence P, Q, R branches should all be calculated. Next, the relationship between the theoretical light intensity of both J ' J " and v' v" transitions should be related to the rotational temperature and the vibrational temperature. Hence discrete peaks (δ function) of these J ' J " and v' v" transitions with correct wavelength and relative intensities are available. The third step is to broaden these δ function peaks with the known equipment response function and then add them up to form an un-resolved rovibrational band. Finally, the rotational and vibrational temperature are changed to give the best fit between the experiment and the theory, hence deriving the suitable rotational and vibrational temperature. The energy of the emitted photon is the sum of the energies of the electronic, vibrational, and rotational transitions. Each rotational transition peak is corresponding to the transition between the upper and the lower energy levels as in the equation ( ', ν ', ') ( ", ν", ") v = F E J F E J, (3.5) in which v is the wave number of the corresponding transition and F is the energy in unit of cm -1 of corresponding electronic, vibrational and rotational energy levels.the relationship between the wavelength and the wave number is λ = hc / ν. Using the traditional Herzberg s terminology, the above equations can be expanded as the following 93

94 2 3 4 ' ' 1 ' ' ' 1 ' ' ' 1 ' ' ' 1 v= Te + ωe ν + + ωexe ν + + ωeye ν + + ωeze ν " " 1 ' ' " 1 ' ' " 1 ' ' " 1 ωe ν + ωexe ν ωeye ν ωeze ν (3.6) ' ' '2 ' 2 ' + " " "2 " BJ ν' ( J+ 1) DJ ν' ( J+ 1 ) + Hν +. ( ) ( ) 2 ".. Bν" J J + 1 Dν" J J Hν..., in which T e is the electronic transition wave number, which corresponds to the energy difference between the minimum energy of the upper and the lower vibrational levels, ω, e ω exe, eye ω, ω eze are the vibrational constants with the prime represents the upper level and the double prime represents the lower energy level, and B ν, D ν, H ν are related to general constants B e, α e, γ e, δ e, D e, β e, H e as the following Bν = Be αe ν + + γe ν + + δe ν Dv = De βe ν + 2 Hν = He. (3.7) The wavelength of the corresponding transition can also be expressed in the Dunham Series 122, as shown in the equation λ ' " 1 q 1 q Cv J c p B p Bv' J " = na Ypq ( v' + ) J '( J ' + 1 ) Ypq ( v" + ) J "( J " + 1) p= 0 q= 0 2 2, (3.8) where lambda is the wavelength, n a is the index of refractivity, v and v is vibrational quantum numbers of higher and lower energy states, J and J are the rotational quantum numbers of higher and lower energy states. Y pq are the constants related to the rovibrational transition. Compared to the Herzberg s terminology 124, Y 00 =T e, Y 10 =ω e, Y 20 = -ω e x e, Y 01 =B e, 94

95 Y11=α e, Y 02 =-D e. The complete list of the relationship between the Y pq and the Herzberg s terminology is shown in Table 3.1. The values of Y pq for the C 3 Π u and B 3 Π g electronic states of N 2 molecule are listed in Table ,131,132. And the values of Y pq of the d 3 Π g and a 3 Π u energy levels of C 2 molecules are listed in Table 3.3. The Frank-Condon coefficients for the vibrational transitions are listed in Table 3.4. The intensity of the emission light from the upper energy state to the lower energy state inside one rovibrational band is given by 133 : I = K E (3.9) J ' J ' J " S 4 J ', J " exp( ) λ kt B r in which K is a constant including the contributions from the monochromator sensitivity, electronic transition factors and the Frank-Condon factor of vibrational transition, λ is the wavelength of the transition, and T r is the rotational temperature. S J,J is called the line strength intensity or Hönl-London factor, and the value calculated by Kovacs and Budo are used for N 2 molecules 133,134 and the value calculated by Hill and Van Vleck method are used for C 2 molecules 119,135. E J is the energy of upper state with rotational quantum number J, and can be calculated by: ( '( ' 1) ' 2 ( ' 1) 2 ' ' 3 ( ' 1) 3 ) E = hc B J J + D J J + + H J J + J ' v v v 5 2 p= 0 q= 1 p c q q 1 = hc Y v' J ' ( J ' 1) pq (3.10) in which h is the Planck constant and c the light speed. Notice the sum starts from q=1 instead of 0 since only the rotational energy part is included. 95

96 Table 3.1 Correspondence Between the Parameter Y and the Herzberg's Terminology Y Herzberg s Terminology Y 00 T e Y 10 ω e ω x Y 20 e e Y 30 ω eye Y 40 ω eze Y 50 ω eae Y 01 Y 11 B e αe Y 21 γ e Y 31 δ e Y 02 De Y 12 β e Y 03 H e 96

97 Table 3.2 Y pq value of C 3 Π u and B 3 Π g energy levels of N 2. a C 3 Π u B 3 Π g p q e e e-2 3e e-2 1.3e e e e e e-1-1.5e e e See refs. 124,130,132,136. Table 3.3 Y pq value of d 3 Π g and a 3 Π u energy levels of C 2. a d 3 Π g a 3 Π u p q a. See refs

98 Table 3.4 Frank-Condon factors a,b ν" N 2 (ν = ν +1) C 2 (ν = ν ) a The transition of N 2 is C 3 Π u B 3 Π g, and the transition of C 2 is d 3 Π g a 3 Π u. b Reference 138 When the whole series of rovibrational bands are used, the vibrational part should be included as in the equation J ' 4 Eν ' EJ ' IJ" = Kν qν', ν" exp( ) SJ ', J" exp( ) kt B v kt B r, (3.11) in which q ν ', ν " is the Franck-Condon factor, T v is the vibrational temperature and E v the vibrational energy. K is a constant only including geometrical, spectrometer sensitivity and electronic transition factors. The vibrational energy can be calculated by ' ' 1 ' ' ' 1 ' ' ' 1 ' ' ' 1 Ev' = hc ωe ν + + ωexe ν + + ωeye ν + + ωeze ν p= 1 1 = hc Yp 0 ν ' + 2 p (3.12) The monochromator response function is usually experimentally determined by measuring a standard atomic spectrum with the optical detection system. Argon or mercury lamps are commonly used. The response function can be fitted by a Gaussian, Lorentzian, or the function defined by Phillips 122 as in the equation 98

99 g( λ) = 2 λ a W 2 2 λ a+ ( a 2) W, 2 (3.13) in which a is a constant and W is the resolution and λ is the distance from the peak center. The Ar spectral lines from a standard Ar lamp were well fitted by both Gaussian function and the function defined by Phillips, as shown in Figure 3.4. It is found that the Gaussian function fits the base of the peak better while the Phillips function fits the peak better. The optical resolution determined by these fittings was about 1.96 Angstrom. The constant a in the function defined by Phillips was found to be about 25.6 for our system. Both Gaussian and Phillips types of function are tested in the fitting process and only a minor change is observed in the temperature measurements. Examples of the gas temperature measurement by fitting one rovibrational band of N 2 are shown in Figure 3.5. The band 1-0 and the band 0-0 of Figure 3.3 were chosen and used in the general procedure described above to theoretically fit the experimental curve. The plasma was formed in argon gases with around 5% N 2 added as trace gases. 1-0 and 0-0 bands can be very well fitted and the temperature was found to be around 2000K in both 1-0 and 0-0 band fitting. The difference between them was within experimental errors. 99

100 Fitting of Argon atomic spectrum with Gaussian type of Spectrum Data: Data1_G Model: Gauss Chi^2 = R^2 = y ± xc ± w ± A ± Intensity (a) Wavelength(Angstrome) Fitting of Ar atomic spectrum with Philip's type of spectral function Intensity(A.U.) 3 2 Data: Data1_G Model: Philips Chi^2 = R^2 = I a v w Notice the Philip's type of spectral function will have negative value which should be eliminated in the modelling. 1 0 (b) Wavelength(Angstrome) Figure 3.4 Monochromator response function measured by the Ar standard lamp. (a) Fitting by the Gaussina function. (b) Fitting by the Philips' type of function. 100

101 (a) (b) Figure 3.5 Theoretical fitting of the measured rovibrational band by the general procedure described in section (a) T g =1980K fitted from the 1-0 band and (b) T g =2050 K fitted from the 0-0 band. It seems that our experimentally measured and theoretically calculated 1-0 band has around 18Å shift compared to Tonnis and Graves measurement and calculation 123, which 101

102 is quite a big difference in such a rovibrational band calculation. Our measurement and calculation of 1-0 band is found to be consistent with that from Roux 132, and the 0-0 band is consistent with Donnelly 111. With the good experimental and theoretical fitting and consistency with other experimental observation, rotational temperature obtained through the above fitting process is believed to be trustable. The experimental errors for the neutral gas temperature were found to be ±150K when combining effects from repeatability and systematical errors like the difference between the rotational temperature and the translational temperature. 3.4 Practical Issues of the Neutral Gas Temperature Measurements Four practical issues related to the neutral gas temperature are discussed in this section. Section demonstrates that the selection of the single rovibrational band for fitting purpose should consider both the emission intensity and the interference with other emission. Section shows that the neutral gas temperatures obtained by fitting one series of bands and obtained by fitting one rovibrational band are the same. No dependence of the fitted neutral gas temperature on the experimental resolution was observed, as shown in section Section addresses the perturbation of the added trace gas on the plasma. Usually less than 1% N2 should be added as the trace gas to measure the neutral gas temperature of the argon plasma Selection of the Rovibrational Band Many rovibrational bands corresponding to different vibrational transition can be chosen for the neutral gas temperature fitting, with some examples shown in Figure 3.3 and 102

103 two of those fittings show in Figure 3.5. Theoretically, all the rovibrational bands should give the same rotational temperature. But practically, due to the interference effect of argon spectrum or nearby rovibrational bands, not every rovibrational band gives the right results. For a single rovibrational band fitting, it was found that the theoretical fitting of bands like 2-1, 0-2 and 0-3 were worse than that of the 1-0 and 0-0 bands. The fitted neutral gas temperatures were found to be different as well. Bands like 2-1 and 0-2 have bad theoretical fitting because of the extension of the rovibrational bands right next to them. For example, it can be imagined that part of the 1-0 bands extends below the 2-1 band. Hence the measured spectrum in that wavelength range is not contributed only by the theoretical 2-1 band of, but also partly by the 1-0 band. The spectral intensities of the band 0-3, 1-4 and others shown in Figure 3.3 are much weaker than that of the 1-0 and 0-0 bands and also mixed with ArI or ArII spectra, which reduces the credibility of the fitted neutral gas temperature. In conclusion, either the 1-0 band or the 0-0 band of the C 3 Πu B 3 Πg is preferable when using a single rovibrational band of N 2 for the neutral gas temperature measurements, due to their relatively high intensity and less interference with other rovibrational bands and argon spectra. The conclusion is consistent with the observations of other researchers 111,

104 8 7 Experimental Theoretical 1'-0" 6 intensity(a.u.) T rot = 1860 o K T vib = 5621 o K 3'-2" 2'-1" 2 4'-3" (a) wavelength(angstrom) 7 intensity(a.u.) Experimental Theoretical T rot =1980 o K 2 (b) wavelength(angstrom) Figure 3.6 Theoretical fitting of the measured rovibrational band for the Ar plasma with N 2 addition. The rovibrational bands of the second positive system of N 2 (C 3 Π u B 3 Π g ) in the wavelength range Å was measured. (a) T rot =1900K as fitted from the ν = -1 series and (b)t rot =2000K as fitted from the 1-0 band. 104

105 3.4.2 Choice of Fitting a Whole Series of Bands or a Single Band Figure 3.6 shows examples of the neutral gas temperature measurement by fitting a series of rovibrational bands and by fitting one rovibrational band. The plasma was created with a flow of 2000 sccm argon at the pressure of 1 torr. A 2.5% total flow rate of N 2, or 50sccm was added as the trace gas for the neutral gas temperature measurements. The whole ν = -1 series of bands are fitted in Figure 3.6(a), while only the 1' 0" band is fitted in Figure 3.6(b). It is found that the experimental spectra are well fitted by the theoretical curve and the obtained neutral gas temperatures from these two methods are close to each other. It is not a surprise to see that the neutral gas temperature is higher than in the plasma of most other devices like ICP or CCP since the power density is much higher (4.8 W/cm 3 in this case). Also, as expected, the vibrational mode is not in equilibrium with the rotational mode of the molecule The Dependence of the Measured Gas Temperature on the Optical Resolution The gas temperature obtained by fitting the rovibrational band does not depend on the optical resolution of the instrument. Figure 3.7 shows the dependence of the theoretically fitted temperature on the optical resolution of the monochromator. With the same plasma condition, a gas mixture of 2000sccm Ar and 200 sccm N 2 under the pressure of 1 torr, the Jarrel-Ash OES system (as discussed in section 2.4) with 10µm entrance slit and the Jobin- Yvon OES system with different entrance slit were used to measure the optical emission. 10% N 2 was added to the plasma because the light intensity response of the Jobin-Yvon system is much weaker. No dependence of the theoretically fitted neutral gas temperature on the optical resolution is observed in Figure 3.7, as expected. 105

106 The independence of obtained gas temperature on the optical resolution of the monochromator convinced us to use the Jarrel-Ash OES system for most measurements in this thesis. There are two advantages of using the Jarrel-Ash OES other than the Jobin- Yvon OES system. The first one is that the data collection speed of the Jarrel-Ash system is 2 order of magnitude higher than that of the Jobin-Yvon system. The second one is that the light intensity response of the Jarrel-Ash system is at least one order of magnitude higher than that of the Jobin-Yvon system, which makes the N 2 emission from as small as 0.15% added N 2 trace gas still able to be detected by the Jarrel-Ash system Neutral Temperature Dependence on Optical Resolution 2400 Neutral Temperature ( o K) Measured by Jarrel-Ash All others by Jobin Yvon Optical Resolution (Angstrom) Figure 3.7 Dependence of fitted neutral gas temperature on the optical resolution Perturbation of the Added Trace Gas on the Plasma The perturbation of the added gas on the plasma is studied. Usually, 2-5% N 2 is added as the trace gas by assuming that it does not perturb the plasma. Less amount of N 2 is more preferable since it reduces the perturbation. But there is a trade-off between the 106

107 perturbation and emission light intensity since the emission light intensity is not enough with too little N 2 added. Figure 3.8 shows the intensities of two spectral lines of the argon plasma with the argon flow rate of 1.5 slm and the pressure of 1.5 torr. Figure 3.8(a) shows the dependence of the spectral line intensities at wavelengths of and 852.1nm ( 2 p 0 1/24s- 2 p 0 1/24p transition of ArI) on the percentage of the added nitrogen, normalized by the value when no N 2 is added. And Figure 3.8(b) shows the dependence of the ratio between these two normalized spectral line intensity on the added N 2 percentage. The percentage of N 2 was calculated by the gas flow rate entered the reactor. When 4% N 2 was added, the Ar spectral line intensity increased by more than 20% and the ratio between these two spectral lines decreased by about 2%. These changes are due to the changes of the electron density, the electron temperature, the neutral density and the neutral temperature. The perturbation of the plasma with the N 2 addition is clearly demonstrated. The power and the neutral gas temperature were also measured when different amount of N 2 was added into the plasma, as shown in Figure 3.9. As the percentage of N 2 increases, the power consumption of the plasma increases. This is because the source is operated at the condition of a constant current and the N 2 plasma has higher resistivity than the Ar plasma. This is also reflected by the neutral gas temperature where the neutral gas temperature with 5% N 2 added is 20% higher than that when added N 2 only takes 0.1%. This is a big perturbation, especially for the desired unperturbed neutral gas temperature. These measurements suggest that at most 1% N 2 should be added in the argon plasma in order to reduce the perturbation of the plasma during the gas temperature measurement. 107

108 When the added N 2 takes only 0.1%, the perturbation is negligible and the 1-0 and 0-0 rovibrational bands are strong enough in our experiments for the Jarrel-Ash OES system. Intensity ratio of same Ar spectrum with or without N 2 trace gas 1.35 λ=826.45nm 1.30 λ=852.1nm Line Ratio Percentage of added N 2 (a) 1.02 Line Ratio dependence on added N I 8264 /I 8521 normalized to N 2 %= (b) Percentage of added N 2 Figure 3.8 Effects of the added N 2 on the spectral intensities of the Ar plasma. (a) The intensities of two Ar spectral lines as normalized to the intensity when no N 2 was added. (b) The ratio of these two normalized spectral lines 108

109 Neutral Temperature Dependence on N2 Percentage 2400 Neutral Temperature (K) (a) N2 Percentage (%) 2.2 Power Depedence on Added N2 Percentage 2.1 Plasma Power (kw) (b) N2 Percentage (%) Figure 3.9 Change of the neutral temperature and power with different amount N 2 added in. (a) Neutral gas temperature and (b) Power 109

110 3.5 Validation of Using the Swan Bands of C 2 for the Neutral Gas Temperature Measurement of the Fluorocarbon Plasma The neutral gas temperatures of different fluorocarbon plasmas have been measured. Schabel et al investigated the temperature of the inductively coupled plasma (ICP) etcher by adding N Cruden et al systematically compared the rotational temperature fitted from different diatomic molecules such as CO, CF, CN and SiF in an inductively coupled CF 4 plasma used for the depostion 119,139. Tonnis et al also examined the usage of different diatomic molecules for the neutral temperature measurements in the plasma abatement and found N 2 is the most reliable molecules in their condition 140. Compared to the method of adding a trace amount of N 2 in the fluorocarbon plasma, the method of using the natural diatomic molecules of fluorocarbon plasma (CO, C 2 and CF) has advantages and disadvantages. First, the natural diatomic molecules avoid the perturbation problems caused by the N 2 addition. Section proves that even a trace amount of N 2 can change the plasma parameters and the plasma chemistry 141. The use of the existing diatomic emission can avoid the spectral interference of N 2 emission with fluorocarbon emissions since many of the N 2 rovibrational bands lie in the same regions. The disadvantages of using diatomic molecules already present are that these molecules have more limited database, and more dangerously, their rotational temperature may not be in equilibrium with the translational temperature of the neutral species since the excited states can be formed by both the collisional excitation from the ground state and the dissociative excitation (which can produce rotational excitation). 110

111 This section reports the first successful measurement of the neutral gas temperature by the utilization of the swan bands of C 2 molecules and its validation by comparison to the measurement with the added N 2. As the first step to estimate the neutral gas temperature of the fluorocarbon plasma, N 2 was added into the fluorocarbon gas mixture. The fluorocarbon plasma was composed of 333 sccm C 2 F 6, 1115 sccmo 2 and 2550 sccm Ar at 2.5 torr with the plasma power of 6.3 kw. In order to obtain strong enough light emission intensity, 400 sccm N 2 had to be added into the plasma, which caused the plasma power to rise to 7.0 kw under the same pressure. Compared to adding N 2 in the Ar plasma where less than 1% total flow rate of N 2 can yield adequate light intensity, 141 more than 4% of N 2 has to be added into the fluorocarbon plasma as observed in our system. 96 The reasons are as follows: one, the high power density of this fluorocarbon plasma source causes more dissociation of N 2 than in the lowpower Ar plasma; and two, the emission light from other molecules can mix with or overwhelm the N 2 emission light. Only a few bands of the second positive system of N 2 can be used for the neutral gas temperature measurements. Some bands are mixed with other molecular spectra, which are abundant in a complicated chemistry like the fluorocarbon and oxygen gas mixture. For example, in the series of the v = 1 transition of the second positive system of N 2, bands 1 1 2' 1", 3' 2" and 4' 3" are mixed with the Angstrom bands of CO ( B Σ AΠ). Although it is possible to fit both CO and N 2 at the same time 119, it is usually more complicated and does not yield further information. It is found that in the fluorocarbon plasma, the 1' 0" and 0' 0" bands of the second positive system of N 2 are usually 111

112 clean and hence can be used for the neutral gas temperature measurements. Therefore, they are usually selected for fitting. The neutral temperature of the fluorocarbon plasma was found to be 5300 K by fitting the 1' 0" band of N 2, as shown in Figure 3.10(a). Similar results were found by fitting the 0' 0" band. It is not surprising to see so high a neutral gas temperature in the fluorocarbon plasma since the power density is as high as 17.4 W/cm 3 in the fluorocarbon plasma. It is estimated that the electron temperature is about 2-5 ev and the electron density is in the order of magnitude of cm -3 in the plasma. The high power density is responsible for the high electron density, which then contributes to the high neutral temperature in the plasma 142. The reason for the power to increase during the N 2 addition is that N 2 typically increases the resistivity and thus increases the power to the plasma under constant current operation. It has also been observed that the electron temperature and density can change during the N 2 addition. 141 Therefore, adding N 2 obviously perturbs the fluorocarbon plasma and other natural species will be more preferable for the purpose of estimating the neutral gas temperature. Cruden et al systematically examined the natural diatomic molecules in fluorocarbon plasma for the neutral gas temperature measurements 119,139. These molecules included CO, CF, and CN when some impurities of N 2 were present in the plasma. The possibilities of using the above natural diatomic molecules for the neutral gas temperature measurements were also examined in our system with C 2 F 6, O 2 and Ar mixtures. No CN was observed since no external N 2 was added and a good leak detection was performed prior to the experiments. Second, the Angstrom bands and the third positive 112

113 3 + 3 bands ( b Σ a Π) of CO were found to be unsuitable for this purpose as they were mixed with the spectra from other molecules, most likely other polyatomic fluorocarbon 2 2 radicals. Third, the v = 0 series ( nm) and v =+ 1 series of the B X Π transition of CF were not detected either. This may be due to three reasons: one, there is insufficient amount of CF available in the plasma because of the near complete dissociations; two, the monochromator is not very sensitive to the light with wavelength less than 250 nm; and three, a very thin fluorocarbon film could be deposited on the sapphire viewport which may block the light within this wavelength range to pass through. Finally, a significant amount of C 2 molecules were observed in the gas mixtures. Obvious rovibrational bands were observed in the range of nm, which corresponds to the 3 3 v = 0 series of the Swan bands of C 2 ( d Π a Π ). Also, the v = 1 series of the Swan band was observed in the range of nm. g The dashed line of Figure 3.10(b) shows the example of the observed v = 0 series of the Swan bands of C 2 for the same plasma as in Figure 3.10(a) with N 2 addition. Compared to other C 2 measurements 119, up to 3' 3" was observed in the spectrum. This is consistent with the high electron density of the plasma, which causes the excitation of higher energy levels. Notice that the peak around 505.5nm is not from the 4-4 transition of the Swan bands of C 2, but from an atomic spectral line of Ar. Using the rotational temperature of C 2 to estimate the translational temperature of neutral species raises many concerns, among which the biggest is still whether the rotational temperature is in good equilibrium with the translational temperature. The excited states of C 2 can be formed by methods other than excitation from the ground states. u 113

114 One possible method of forming excited states of C 2 is by chemiluminescent process through CO and C 2 O intermediates 143. Comparison between the rotational temperatures of C 2 and N 2 can be used to justify the method of using C 2 emission for translational temperature measurements. Although more sophisticate experiments such as the laser-induced fluorescence method and the laser absorption spectroscopy method are better for this purpose, the comparison between the rotational temperatures of C 2 and N 2 is much easier to carry out and is also accurate enough in most situations. This is because the second positive system of N 2 was proven to be a robust index of the translational temperature 140. The comparison between the rotational temperature of C 2 and N 2 in our system is shown in Figure Figure 3.10 (b) shows the theoretical fitting of the observed v = 0 series of the Swan bands of C 2 in the same plasma condition as that in Figure 3.10 (a). The theory is the same as described in section and the parameters used are shown in Table 3.2 and Table 3.4 with the appropriate approximation of the coupling of the electronic orbital, the electronic spin and the rotational angular momentum 137. As can be seen from Figure 3.10 (b), an excellent fitting is obtained and the rotational temperature is found to be 5500K and the vibrational temperature is found to be 8700K. The rotational temperature of C 2 is very close to that measured from N 2. Based on the confidence on N 2, the rotational temperature of C 2 is believed to be a good estimation of the translational temperature. 114

115 intensity(a.u.) Experimental Theoretical T rot =5270 o K (a) wavelength(angstrom) Experimental Theoretical 0'-0" intensity(a.u.) T rot = 5500 o K T vib = 8700 o K 3'-3" 2'-2" 1'-1" 0.5 (b) wavelength(angstrom) Figure 3.10 Neutral gas temperature measurements of fluorocarbon plasma with 333 sccm C 2 F 6, 1115 sccm O 2 and 2550 sccm Ar gas mixtures with 400 sccmn 2 added. (a) 1-0 band of C 3 Π u B 3 Π g transition of N 2, (b) ν = 0 series of d 3 Π g a 3 Π u of C 2 molecules under the same condition. It is believed that the high pressure (2 torr) and the high electron density ( cm -3 ) in our system help make it possible for the rotational temperature of the excited states of C 2 to be in equilibrium with the translational temperature. The reasons are twofold. First, the 115

116 higher pressure causes more collisions between the neutrals and others, which can expedite the relaxation process of the excited states formed by methods other than excitation from the ground state. The radiative lifetime for the 3 d Π g state of the C 2 molecule was measured to be around 250 ns. 144,145 The mean time for neutral-neutral collision is about 100 ns and the mean time for electron elastic collision is about 2 ns when polarization scattering is considered. 7 Since the collision time is smaller than the lifetime of the excited state, the excited state could be equilibrated by collisions. Second, the higher electron density increases the collisional excitation from the ground state of C 2 and hence a bigger portion of the excited states can be formed by the ground state excitation. Although the higher electron density seems also possible to increase the rate of chemiluminescent C 2 reaction, this may not be the case since a reduction in C 2 O and CO concentration reduces chemiluminescence and the chemiluminescence reaction itself is collisionally quenched. The comparison is also expanded in the range of 1 to 10 torr and the rotational temperature of N 2 is found equal to that of C 2. Lower than 1 torr pressure may be useful in judging the pressure effect on the equilibrium, but it is not achievable with the targeted flow rate and available pumping speed. The comparison between C 2 and N 2 justifies the accuracy of using the rotational band of C 2 for the neutral gas temperature measurements. 3.6 Characterization of the Ar Plasma This section shows the characterization of the argon plasma. Section shows that the electron temperature and the electron density are measured to be about 2 ev and cm -3 in the argon plasma. The electron density was estimated by the resistivity of the plasma. As discussed in section 3.6.2, the spatial profile of the neutral gas temperature was 116

117 found to be parabolic across the plasma cross-section with the maximum at the spot close to the center of the toroidal loop, due to the high heating rate caused by the higher electric field. The neutral gas temperature seemed to have a logarithmic dependence on the source pressure, similar to the observation in the literature. Section discusses the simplified global plasma model with detailed plasma kinetics, the mass balance equations of each species and the energy balance equations, with the argon plasma as an example. The comparison between the experimental results and the model prediction are discussed in section A good match of the pressure dependence of the electron temperature was found between the model prediction and the experimental results. The model further predicted that the neutral gas temperature and the electron density dramatically increase with the plasma power, while the electron temperature doesn t change much Electron temperature and resistivity measurements With the method described in section 3.2.1, the electron temperature of the argon plasma was measured. Figure 3.11 shows the spatial profile of the electron temperature for the argon plasma with 2 slm flow rate at 1 torr pressure. The electron temperature was found to be between 1.9eV and 2.5 ev with the maximum temperature appearing at the center of the chamber. The dependence of the electron temperature on the argon gas flow rate and the plasma source pressure is shown in Figure For all the tested flow rates, the general trend shows that the electron temperature decreases with the plasma pressure, which is expected since the electron temperature is usually monotonically dependent on the E, the ratio P between the electric field intensity E and the pressure P

118 2.9 Electron Temperature (ev) Position (cm) Figure 3.11 Spatial profile of the electron temperature of 2slm argon plasma at 1 torr pressure. Position zero represents the center of the plasma source chamber. Electron temperature (ev) slm 2slm 3slm 4slm Plasma Source pressure (torr) Figure 3.12 Dependence of the electron temperature on the plasma source pressure for the argon plasma with different flow rates. The toroidal loop voltage and loop current were measured and used to calculate the plasma power and the average plasma resisitivity. Figure 3.13 and Figure 3.14 show the dependence of the plasma power and the plasma resistivity on the plasma source pressure. 118

119 The plasma resistivity increases with the plasma source pressure, causing the plasma power to increase under the operation condition of a constant current. 2 Power(kW) slm 2slm 3slm 4slm Plasma Source pressure (torr) Figure 3.13 Dependence of the plasma power on the plasma source pressure of the argon plasma for four different flow rates Resistivity(Ohm-cm) slm 2slm 3slm 4slm Plasma Source pressure (torr) Figure 3.14 Dependence of the plasma resistivity on the plasma source pressure of the argon plasma for four different flow rates. The plasma resistivity is used to estimate the electron density in the plasma. Since the plasma operation frequency (400 khz) is much less than the electron plasma frequency 119

120 (2.8µ10 10 Hz) and the ion plasma frequency (8µ10 7 Hz), the average plasma resistivity ρ can be calculated similar to that of a DC discharge, as shown in the equation 7 Z ln Λ n ρ = +, (3.14) 0 K1 K 3/2 2 Te Te ne in which the first term is the Spitzer s resistivity term due to the electron-ion Coulomb collision and the second term is due to the electron-neutral collision. K 1 and K 2 are constants with unit W-m, Z is the ion charge, Λ is a plasma constant related to the ratio of Debye length and the 90 degree scattering impact parameter during the Coulomb scattering, T e is the electron temperature in ev, and n 0 and n e are the neutral and electron densities in the plasma. The square root of the electron temperature in the second term of the RHS is an approximation of the electron temperature dependence of the rate coefficient. The Coulomb logarithm ln Λ is about K = W-m from the Spitzer s formula. 91 Parameter K 2 includes the information about the electron neutral collisional cross-section and the electron temperature and it is estimated to be in the order of 10-7 to 10-5, depending on specific plasma condition. The K 2 value for the argon plasma is estimated to be about 1µ10-7 W-m based on the current available cross-section data 7,102,146. For the average plasma resisitivity of W-cm as shown in Figure 3.14, the Spitzer resistivity is calculated to be about W-cm with an electron temperature of 2eV, and hence the majority part of the resistivity is provided by the electron-neutral collision. Using equation (3.14) and the electron temperature 2 ev and neutral temperature of 2000 K, the electron density is estimated to be about 8.0µ10 12 cm

121 The dependence of the electron density on the plasma source pressure is shown in Figure The electron density increases with the plasma source pressure, maybe due to the increase of the density of the neutral particles. 1.4E E+13 electron density (cm -3 ) 1E+13 8E+12 6E+12 4E+12 2E Plasma source pression (torr) Figure 3.15 Dependence of the electron density as a function of the plasma source pressure for the Ar plasma with 2slm flow rate Neutral Gas Temperature Measurements With the optical collector on a linear stage, the spatially resolved neutral gas temperatures of the Ar plasma were measured along the X and the Z direction, as defined in Figure 2.1 where bigger Z value corresponding to shorter distance to the toroidal loop center. Figure 3.16 shows the spatial resolved neutral gas temperature of the argon plasma with a flow rate of 2000 sccm Ar and 0.15% N 2, or 3 sccm, as the trace gas. The spatial profiles at four different pressure conditions are shown. Notice that ±12.5mm represent the chamber wall. The spatial dependences of the gas temperature along the X direction and the Z direction are obviously different with the maximum along the X direction happening at the 121

122 center while the maximum along the Z direction happening at about +4mm. For the neutral gases in the plasma, the Ohmic heating is the dominant heating mechanism and the conduction and convection are the dominant cooling mechanisms. The Ohmic heating power density is proportional to the electric field square for the fixed plasma conductivity. Ignoring the density gradient of the electrons and neutrals, the Ohmic heating power densities are the same along the X direction since they are on the same toroidal circle and have the same electric field, assuming that the resistivity does not change much along the X direction. A simple transport calculation predicts the parabolic shape of neutral gas temperature along the X direction with the maximum temperature at the center, just as observed in Figure 3.16(a). The positions along the Z direction, however, have different distances from the toroidal loop center. When Z decreases from positive to negative, the loop gets bigger and the electric field becomes smaller since the electric field equals the ratio of the loop voltage and the loop length and toroidal loop voltages along the Z direction are the same. With bigger heating power when Z gets more positive, the maximum temperature should happen in the positive Z region instead of the center where Z equals 0. We did not attempt any quantitative calculation to fit the above experimental results since we did not have enough information yet about the spatially dependence of the resistivity and the cooling mechanism. The above analytical analysis was believed to be reasonable and gave us another confirmation of the measurement of the neutral gas temperature. 122

123 Neutral Temperature (K) Torr 2 Torr 3 Torr 4 Torr X Position (mm) Neutral Temperature (K) Torr 2 Torr 3 Torr 4 Torr Z Position (mm) Figure 3.16 Spatial dependence of the neutral gas temperature of the Ar plasma, with the X and the Z direction defined in Figure 2.1. Zero value represents center of the cross section and ±12.5 mm represents the chamber wall. The asymmetry of the spatial profile along the X direction is caused by the misalignment of the axis of the optical collector and the axis of the plasma. This is consistent with the observed increasing of the temperature from X=-10 to X=-12.5 and from Z=10 to Z=12.5, which should decrease when closer to the wall. This is actually a result of our limited experimental adjustability. The small increase between X=-10 and X=- 123

124 12.5 but not between X =10 and X=15 is because the axis of the collector was tilted toward negative X and hence collects the light reflected by the chamber wall from higher temperature region. The dependence of the neutral gas temperature on the gas pressure was measured for the argon plasma with a gas mixture of 500 sccm Ar and 5 sccm N 2. The pressure was measured at the outlet of the plasma source and was changed between 0.1 and 10 Torr by a throttle valve. The neutral gas temperature in the center of the plasma was measured with the rovibrational band fitting technique. A strong dependence between the neutral temperature and the pressure was observed, as shown in Figure Tonnis and Graves 123 found that the neutral temperature is proportional to the logarithm of the outlet pressure of an ICP device in the pressure range of Torr. Similar relationship was found in our experimental data, as the solid line shown in Figure Similar dependence of the neutral gas temperature on the pressure for different gas flow rates is shown in Figure Neutral Temperature (K) Tg=374*ln(P) Pressure (Torr) Figure 3.17 Dependence of the neutral gas temperature on the pressure of the plasma source for 500 sccm Ar plasma. The logarithm pressure dependence was found to be a good fit. 124

125 2600 Neutral Gas Temperature (K) slm 2 slm 3 slm 4 slm Pressure (torr) Figure 3.18 Dependence of the neutral gas temperature on the plasma source pressure for four argon gas flow rates Argon Plasma Modeling The rigorous modeling of the TCTP should include at least three modules in at least 2- D geometry: the electromagnetic field calculation module, the electron energy distribution calculation module, and the plasma-chemical kinetics module. The electromagnetic module solves the Maxwell s equations with the plasma conductivity and yields the electric field in the plasmas. The electron energy distribution package solves the electron energy balance equation of the electrons to get an estimation of the electron temperature, using the electric field, the conductivity and the power loss to the chamber wall. Then with the known electron temperature and the calculated rate coefficients for all the electron related processes in the plasmas, the plasma-chemical kinetics module solves the mass and energy balance of each species in the plasma, coupled with the surface chemistry and the sheath calculation, to yield the plasma concentration, the plasma conductivity and the power loss to the chamber wall. The plasma conductivity and the power loss are then provided to the 125

126 electromagnetic module and the electron energy distribution module. These calculations are discretized and repeated until the results converge to steady state values, which represent the properties of the plasma. A simplified global plasma model with detailed plasma kinetics, the mass balance equations of each species and the energy balance equations was set up in this work. The model solves the mass balance and the energy balance equation with detailed interactions of the species in the plasmas phase and between the plasma and the surface. Compared to the more rigorous 2-D or 3-D models, the advantage of the simplified model is that it can be constructed much faster than the usual 5-10 years developing cycle of a rigorous 2-D or 3-D model. The disadvantage of the simplified model is that it ignores the concentration gradient, the temperature gradient in the plasma and the calculation of electromagnetic field and hence it can only give a rough estimation of the averaged plasma properties. For the argon plasma, the model was set up in this section to understand the global properties like the electron temperature, the electron density and the neutral gas temperature of the plasma. For the NF 3 +Ar plasma, the model was focused on explaining the fluorine generation and using it to compare to the etching rate study discussed in Chapter 4. The primary goal of the simplified model is to calculate the concentration of species in the plasma, the electron density, the electron temperature, and the neutral gas temperature with the input information of the gas flow rate, the plasma power and the chamber pressure. The difficulties of plasma modeling lies in the complicated chemical kinetics related to the charged particles like electrons and ions. In the argon plasma, the particles in the plasma are the electrons (e or E), the argon atoms at the ground state (Ar), the argon atoms at the metastable states (Ar*) and the argon ions (Ar + ). The reactions that are important in 126

127 the plasma source include the ionization reaction, in which an electron ionizes an argon atom at ground state to form an argon ion, e + Ar 2e + Ar + ; (3.15) the metastable state formation reaction, in which an electron excites an argon atom at the ground state to the metastable state(1s3 or 1s5), e + Ar e + Ar *; (3.16) the reaction of ionizing an atom at the metastable state to form an argon ion e + Ar* 2e + Ar + ; (3.17) the recombination reaction, in which an electron and an argon ion recombine to form an argon atom, + e + Ar Ar ; (3.18) and the electron excitation, in which an argon atom at the ground state is excited to the excited states by an electron and then de-excites to the ground state by the spontaneous deexcitation or the collisional de-excitation with the neutrals or ions, e + Ar e + Ar. (3.19) The excitations such as (3.19) are important since they are a key mechanism to transfer energy from electrons to neutrals. Other reactions should be included in the more complicated systems such as the NF 3 /Ar plasma. Reactions like the neutral-neutral reaction, the ion-neutral charge exchange, the ion-ion neutralization reaction, the recombination, the dissociation and the dissociative ionization are all included in the model, as discussed in section The rate coefficients in equations (3.15)-(3.19) are all expressed in the form of the Arrhenius expression, similar to the neutral-neutral reaction rate. In a two-body neutral- 127

128 neutral reaction (A + B AB), the reaction rate is calculated by Kn An B, where n A and n B are species concentration of A and B, and the rate coefficient K is expressed in the Arrhenius form as in the equation E RT K = AT β e, (3.20) in which A and β are constants; E is the reaction activation energy; R is the gas constant and T is the neutral gas concentration. The key difference between the Arrhenius expression for the electron-involved reactions and the neutral-neutral reactions and is that the electron temperature, instead of the neutral gas temperature, should be used in the exponential term in the electron-involved reaction. In an electron-neutral reaction, the reaction rate is calculated by K ' nen A, where n e and n A are species concentration of electrons and neutrals, and the K ' equals the rate coefficient σ v as shown in equation (3.2). It was proven that K ' in the electron-neutral reactions can also be expressed in the Arrhnius form as in equation (3.20), with the electron temperature in the exponential term The surface reactions are also important in modeling the low-temperature plasma without the magnetic or other forms of confinements. For the argon plasma, the major surface reaction mechanisms are the surface recombination and the metastable atom quenching as shown in equation + surface e Ar Ar + (3.21) and surface Ar * Ar. (3.22) Notice that the electron in above reactions does not have to be provided by the plasma. Instead, electrons from the conductive surface can also recombine with the argon ions to 128

129 form the neutral atoms. Therefore, the reaction rate for equation (3.21) is limited by both the ion flux to the surface and the reaction probability. Assuming that each argon ion that strikes the surface recombines, the reaction rate is set to equal the Bohm rate of ions arriving at the surface. Similarly, the reaction rate for equation (3.22) equals the rate of the neutral arriving at the surface with the sticking and reaction coefficients assumed to be one. The constraint equations for the plasma system are the conservation equation of mass of each species, the conservation equation of the electron energy, and the conservation of energy of both neutrals and ions, assuming that ions have the same temperature as the neutrals 150. The mass balance equation for each species is shown as dm dt L k = VωklWk, (3.23) l = 0 in which m k is the total mass of the k-th species, t is time, ω kl is the l-th molar production rate related to the k-th species by the elementary reaction, L is the total number of elementary reactions related to k-th species, W is the molar mass of the k-th species, and V is the volume of the system. For the reaction with k-th species as part of the product, the ωkl k is positive. The ω kl is negative when the k-th species is reactant and zero when the k- th species is not involved in the l-th reaction. Dividing both sides of equation (3.23) by the total mass M K = mk, (3.24) k = 1 changes equation (3.23) to L dfk = νωklw k (3.25) dt l = 0 129

130 where F k represents the mass fraction of k-th species and ν = V represents the specific M volume. The mass fraction is preferred over the mass of each species since it can be easily converted to the molar fraction, the number concentration and other units. All the power consumed in the plasma goes to the electrons initially during the inductive coupling. The power is then transfered to the neutral and ions in the collisional events between the electrons and the neutrals. Both the energy of electron and the total energy of neutrals and ions are conserved. The energy balance equations for a constant pressure system can be expressed as K L dt c + ν H ω W + E = 0, (3.26) p k kl k ext dt k= 1 l= 1 in which c p is the mean specific heat capacity at a constant pressure, H k is the specific enthalpy of the k-th species for the neutral-neutral reactions, and E ext is the extra energy exchange for that species. Equation (3.26) represents both the energy conservation equation for electrons and the equation for all neutrals and ions. In the energy conservation equation of all neutral and ion species, E ext represents the heat conduction between the hot neutral gases and the water-cooled chamber wall and the energy loss of ions to the surface. In the energy balance for electrons, the first term of equation (3.26) represents the change of the electron total energy, and E ext mostly comes from the elastic collision between the electrons and the neutrals. The specific enthalpies of the neutral and ion species in the reaction are calculated by H a a a a a = a + T + T + T + T +, (3.27) RT k 2k 3k 2 4k 3 5k 5 6k 1k k k k k k Tk 130

131 where T k is the temperature and a ik s are coefficients used for the enthalpy calculation which can be obtained through the NASA chemical equilibrium database. The mean specific heat capacities of the neutrals can be calculated with the same set of parameters. The E ext of the neutral energy balance equation is related to the neutral gas temperature by the heat transfer coefficient as in the equation ( ) E = ha T T (3.28) ext g s where h is the heat transfer coefficient, A is the surface area, T g is the gas temperature and T s is the chamber wall surface temperature. The parabolic spatial dependence of the neutral gas temperature in Figure 3.16 is consistent with the heat loss mechanism of neutrals through the conduction. h can be estimated based on the fundamental calculation if detailed species information is available 153, which is not the case here. Instead, h is changed such that the neutral gas temperature predicted by the model matches that of the experimentally measured. In modeling the plasma, equations (3.25) and (3.26) are both integrated up to the residence time of the gases in the plasma source. Then the mass fraction and the plasma parameters at the outlet are treated as the average properties of the plasma and are compared to some experimental results. Commercial packages Chemkin and OpenChemPro are used to facilitate the model calculation. With the model described above and the reaction parameters shown in Table 3.5, the Ar plasma is modeled and the results are compare to the experimental results. Table 3.5 Reaction parameters for Ar plasma Reaction A a β E e + AR => 2e + AR E e + AR* => 2e + AR E

132 e + AR => e + AR* 1.17E e + AR => e + AR 6.271E-9 b e + AR => e + AR 3.52E-9 c e + AR => e + AR 9.237E-10 d e + AR => e + AR 2.501E-10 e a Rate coefficients of the form of equation (3.20). Units for A vary with the order of the reaction. The unit of A is molecules -1 cm 3 s -1 for bimolecular reaction. β is the dimensionless coefficient for temperature in Kelvin and the unit of E is Kelvin. Ε is the electron energy loss in ev. b Excitation reaction to 3d' 3/2 state of Ar, corresponding to electron energy loss of 14.3 ev. c Excitation reaction to 3d 3/2 state of Ar, corresponding to electron energy loss of ev. d Excitation reaction to 5s 3/2 state of Ar, corresponding to electron energy loss of ev. e Excitation reaction to 3s 1/2 state of Ar, corresponding to electron energy loss of 14.2 ev. The heat transfer coefficient is determined to be Cal/cm 2 -K-sec by fitting the model-predicted neutral gas temperature to the experimentally measured neutral gas temperature. Figure 3.19 shows the dependence of the model predicted neutral gas temperature on the heat transfer coefficient, for 2000 sccm Ar plasma operate at 2 torr pressure with 1680 W power. With the experimentally measured neutral gas temperature of 1700K as shown in Figure 3.18, the heat transfer coefficient is determined to be cal/cm 2 -k-sec. After the heat transfer coefficient is determined, the results at other conditions are calculated by the model and compared to the experiments. The model correctly captures the dependence of the electron temperature on the plasma source pressure. Figure 3.20 shows the comparison of the model predicted and the experimentally measured dependence of the electron temperature on the plasma source pressure. Notice that the experimentally measured plasma power was used in the model calculation for these conditions. A good match between the model prediction and the experimental measurements is observed. The decrease of the electron temperature is mostly due to the increase of the neutral density, where more electron-neutral reactions transfer more energy from the electrons to the neutrals. 132

133 Model Predicted Neutral Temperature (K) Heat Transfer Coefficient (Cal/cm 2 -K-sec) Figure 3.19 Dependence of the model predicted neutral gas temperature on the heat transfer coefficient for 2000 sccm Ar plasma operate at 2 torr pressure with 1680 W power. With the experimentally measured neutral gas temperature of 1700K, the heat transfer coefficient was determined to be cal/cm 2 -k-sec. 3 Electron temperature (ev) Experiments Model Prediction Source Pressure Figure 3.20 Comparison of the experimentally measured and the model predicted dependence of the electron temperature on the plasma source pressure. The plasma composes of 2000 sccm Ar. A good match of experimentally measured and the model predicted neutral gas temperature is also observed in Figure The model predicted electron density is on the same order of magnitude as that calculated from the resistivity measurements, as shown in Figure However, the model predicts much less increase of the electron density with the plasma source pressure than the experimentally measured. Experimental results 133

134 reported in the literature found that the electron density is not a strong function of plasma pressure 154. Hence the model predicted pressure dependence may be more reasonable than the estimated density by the plasma resistivity. The errors of the latter one may be caused by the change of K 2 in equation (3.14) with plasma source pressure, which is difficult to determine Neutral temperature (K) Experiments Model Prediction Source Pressure (torr) Figure 3.21 Comparison of the experimentally measured and the model predicted dependence of the neutral gas temperature on the plasma source pressure. The plasma composes of 2000 sccm Ar. The model-predicted ionization fraction and the metastable state argon concentration as a function of the plasma source pressure are shown in Figure The ionization fraction is between 1-5µ10-4 and decreases with the plasma pressure, which is reasonable because of the higher neutral density at higher plasma pressure. The metastable state concentration is found to be one order of magnitude higher than the electron density and increases with the plasma pressure. 134

135 1.4E+13 Electron Density (cm -3 ) 1.2E+13 1E+13 8E+12 6E+12 4E+12 Experiments Model Prediction 2E Source Pressure (torr) Figure 3.22 Comparison of the experimentally measured and the model predicted dependence of the electron density on the plasma source pressure. The plasma composes of 2000 sccm Ar. 5.0E E E-04 Ionization Fraction 4.0E E E E E E E E-05 Ionization Fraction Ar Metastable State 2.0E E E E+12 Metastable Ar Concentration (cm -3 ) 0.0E E Source Pressure (torr) Figure 3.23 The dependence of the ionization fraction and the metastable state Ar concentration on the plasma source pressure, as predicted by the model for 2000 sccm Ar plasma. The model-predicted dependence of the plasma parameters on the plasma power is shown in Figure 3.24 and Figure A plasma of 2000 sccm argon at 2 torr was modeled with the heat transfer coefficient chosen at 5.1µ10-4 cal/cm 2 -k-sec. At the power of 200 W, the neutral gas temperature is about 500 K, the electron temperature is about 1.8 ev and the 135

136 electron density is close to 4.0µ10 11 cm -3, which are similar to the parameters measured in Inductively Coupled Plasma Etcher at higher pressure as reported in the literature 96,97,99,155. The neutral gas temperature and the electron density are found to be strongly dependent on the plasma power. The electron temperature doesn t increase much with the plasma power since it is usually related to the ratio of the electric field and the pressure which do not change much. Increasing the power causes more ionization and hence higher electron density. The ionization fraction is increased from 9.8µ10-6 at 200 W power to 1.44µ10-3 at 4000W. Higher electron density causes more heating of the neutrals, and hence the neutrals have higher gas temperature. Neutral Temperature (k) Neutral Temperature (K) Electron Temperature (ev) Electron Temperature (ev) Plasma Power Figure 3.24 Dependence of the neutral gas temperature and the electron temperature on the plasma power, as predicted by the model, for 2000 sccm argon plasma at pressure of 2 torr. 136

137 Electron Density (cm -3 ) 8E+12 7E+12 6E+12 5E+12 4E+12 3E+12 2E+12 1E+12 Electron Density Argon metastable density 3E E E E E+13 2E E E E E+13 Metastable Ar Density(cm -3 ) 0 1E Plasma Power Figure 3.25 Dependence of the electron density and the argon metastable state concentration on the plasma power, as predicted by the model, for 2000 sccm argon plasma at pressure of 2 torr Summary of Ar Plasma Characterization Table 3.6 lists a summary of the plasma parameters of the argon plasma. The typical value represents the properties of plasma with 2000 sccm argon at 2 torr. The characterization of the argon plasmas formed in a gas mixture of 2000 sccm argon at the pressure of 2 torr and the power density of about 5.5 W/cm 3 found that the electron temperature, the electron density and the neutral gas temperature to be about 2 ev, 6.0µ10 12 cm -3, and 1700K, respectively. The spatial profile of the neutral gas temperature was found to be parabolic across the plasma cross-section with the maximum at the spot close to the center of the toroidal loop, due to the high heating rate caused by the higher electric field. The neutral gas temperature seemed to have a logarithmic dependence on the source pressure, similar to the observation in the literature. A global plasma model with detailed kinetics, mass balance and energy balance was set up. A good match of the pressure dependence of the electron temperature was found between 137

138 Table 3.6 Summary of the property of argon plasma Property Unit Typical Value Operation flow rate range sccm Operation pressure range torr Operation frequency Hz 4µ10 5 Loop Current RMS A 65 Loop Voltage RMS V 35 Plasma Power watt 2000 Plasma Power Density watt/cm Current flux to the wall ma/cm Toroidal loop current flux ma/cm 2 1.3µ10 4 Electron Temperature ev 2.0 Neutral Gas Temperature K 1700 Neutral gas density cm µ10 16 Electron density cm µ10 12 Argon metastable state density cm µ10 13 Ionization Fraction NA 3.0µ10-4 Ion plasma frequency Hz 8.0µ10 7 Electron Plasma Frequency Hz 2.8µ10 10 Electron-Neutral Collision Freq. Hz 8.0µ10 8 Neutral-neutral collision Freq. Hz 4.5µ10 6 Electron-electron collision freq. Hz 1.5µ10 8 Mean Free Path of neutral m 2.3µ10-4 Mean free path for electrons m 4.0µ10-4 Debye Length m 4.0µ10-6 Residence Time milli-sec 5.0 Electron thermal velocity m/s 6.0µ10 5 Neutral thermal velocity m/s 6.0µ10 2 Electron drift velocity m/s 1.5µ

139 the model prediction and the experimental results. The model further predicted that the neutral gas temperature and the electron density dramatically increases with the plasma power, while the electron temperature doesn t change much. 3.7 Characterization of the NF 3 Plasma The characterization of the NF 3 plasma, formed with a gas mixture of 667 sccm NF 3 and 2000 sccm Ar at the power density of 10W/cm 3 and at the pressure of 2 torr, found the neutral gas temperature, the electron temperature and the atomic fluorine concentration to be about 2700 o K, 1.7 ev and 3µ10 15 cm -3, respectively, as discussed in section A global plasma model with 51 plasma phase species, 272 plasma-phase reactions and 21 plasma-surface reactions was set up in section 3.7.3, with focus on predicting the atomic fluorine concentration and molar fraction. The atomic fluorine concentration was found to linearly increase with the plasma source pressure, as both measured in the experiments and predicted in the model, as discussed in section The model also predicted that the molar fraction of the fluorine atoms saturated when the pressures was up to 4 torr, which explained why the etching rate of the oxide film, in a constant process chamber pressure, increased with the plasma source pressure until about 4 torr and then stayed constant. Section discusses the model-predicted molar fraction increases with the NF 3 flow rate in the gas mixture of NF 3 and argon, which explains the dependence of the etching rate on NF 3 flow rate in the process chamber with the pressure fixed and the etching rate in the non-saturated regime. 139

140 3.7.1 Pressure Dependence The pressure dependence is studied by changing the plasma source pressure and measuring the electron temperature in the plasma, the neutral temperature in the plasma, the atomic fluorine concentration in the plasma source, the etching rate in the process chamber, the gas concentration in the exhaust. Plasma Power (kw) Plasma Power Plasma Resistivity Plasma Resistivity (ohm-cm) Pressure (torr) Figure 3.26 Dependence of the plasma power and the plasma resistivity on the plasma source pressure for a plasma with 667 sccm NF sccm Ar Neutral Temperature (K) Experiment Model Pressure (torr) Figure 3.27 Experimentally measured and the model-predicted dependence of the neutral temperature on the plasma source pressure for the plasma of 667 sccm NF sccm Ar. 140

141 2.9 Electron Temperature (ev) Experiment Model Pressure (torr) Figure 3.28 Experimentally measured and the model-predicted dependence of the electron temperature on the plasma source pressure for the plasma of 667 sccm NF sccm Ar. 1E+16 Atomic Fluorine Concentration (cm -3 ) 9E+15 8E+15 7E+15 6E+15 5E+15 4E+15 3E+15 2E+15 1E+15 Experiment Model Pressure (torr) Figure 3.29 Experimentally measured and the model-predicted dependence of the atomic fluorine concentration on the plasma source pressure for the plasma with 667 sccm NF sccm Ar. Figure 3.26 shows the dependences of the plasma power and the plasma resistivity on the plasma source pressure. Increasing the source pressure linearly increases the plasma resistivity, and hence increases the plasma power consumption. Higher plasma power causes the neutral gas temperature to be higher, shown as the discrete points in Figure The neutral gas temperature of NF 3 +Ar plasma was found to be in the range of 2400K - 141

142 4000K as measured by fitting the rovibrational bands of the natural species N 2 in the plasma. The electron temperature was found to be around 2 ev and decreases slightly with the increase of the plasma pressure, as shown in Figure Using the actinometry method, the atomic fluorine concentration was measured to be in the range of 2µ10 15 cm -3 to 1µ10 16 cm -3 and the concentration increases with the plasma source pressure, as shown in Figure Etching Rate (A/min) Pressure(Torr) Figure 3.30 Dependence of the oxide etching rate on the plasma source pressure for the plasma with 667 sccm NF 3 and 2000 sccm Ar. The process chamber pressure was kept at 2 torr. The sample temperature was kept at 100 o C. Keeping the process chamber pressure constant, the etching rate of the silicon dioxide was found to increase with the plasma source pressure until about 4 torr and then to stay about constant, as shown in Figure The etching rate was about 800 A/min at 1 torr pressure and then increased to about 2000 A/min at 3.5 torr. The saturation of the etching rate with the plasma source pressure seems to be contradictive to the higher fluorine 142

143 concentration as observed in Figure The discrepancy will be resolved in section with the model calculated molar fraction of the fluorine atoms NF 3 flow rate dependence This section discusses the change of the plasma parameters with the flow rate, corresponding to the situation where the etching rate of silicon dioxide saturates with the NF 3 flow rate as discussed in section 4.5. With the process chamber pressure fixed at 2 torr, increasing the NF 3 gas flow rate (2000 sccm Ar does not change) increases the plasma source pressure and power consumption, as shown in Figure The neutral gas temperature was found to increase with the gas flow rate, from 2200K at 500 sccm flow rate to 4500K at 4000 sccm flow rate Power (W) Power Source Pressure Plasma Source Pressure (Torr) NF3 Flow Rate (sccm) Figure 3.31 Experimentally measured plasma power and the plasma source pressure on the NF 3 flow rate. The process chamber pressure was fixed at 2 torr sccm Ar was always added in the plasma. 143

144 5000 Neutral Gas Temperature (K) Model Experiments NF 3 Flow Rate (sccm) Figure 3.32 Experimentally measured and model predicted neutral gas temperature on the NF 3 flow rate. The process chamber pressure was fixed at 2 torr. Electron Temperature (ev) Model Experiments NF 3 Flow Rate (sccm) Figure 3.33 Experimentally measured and model predicted electron temperature on the NF 3 flow rate. The process chamber pressure was fixed at 2 torr. 144

145 Atomic Fluorine Concentration (cm -3 ) 7E+15 6E+15 5E+15 4E+15 3E+15 2E+15 1E+15 Model Experiments NF 3 Flow Rate (sccm) Figure 3.34 Experimentally measured and model predicted atomic fluorine concentration on the NF 3 flow rate. The process chamber pressure was fixed at 2 torr. The electron temperature of the NF 3 plasma was found to be about 1.8 ev and changes slightly with the gas flow rate, as shown in Figure The atomic fluorine concentration was found to be in the range of 2µ10 15 cm -3 to 6µ10 15 cm -3 and increased monotonically with the gas flow rate NF 3 Plasma Modeling The NF 3 plasma is also studied with the simplified model as discussed in section The possible inlet gases include NF 3, Ar, N 2 and O 2. The O 2 is present for the study of the impurity effects. Totally there are 51 species in the plasma phase model and the complete list of all particles is shown Table 3.7. The plasma phase particles include the electron, the ions and all possible neutral radicals in both the ground state and the metastable state. Examples of the ground state neutral particles are NF 3, NF 2, O, F and OF. Examples of the charge 145

146 particles are electrons (e or E), N +, F - and N 2 +. Examples of the particles at the metastable state include O* and Ar*. Table 3.7 List of particles in the plasma phase model of NF 3 +Ar+N 2 +O 2 Neutral Neutral Charged Charged Meta-stable N N2F4 E NF3+ O* N2 NFO2 N+ FO+ O** NO O N2+ O- O2* NO2 O2 NO+ O3- O2** NO3 O3 NO2+ O2- AR* N2O F2 N2O+ NO2- N3 FO O+ NO3- NF3 FO2 O2+ F- NF2 F2O F+ F NF F2O2 F2+ AR N2F2 NFO NF+ AR+ NFO3 NF2+ There are totally 275 plasma phase reactions and 21 surface reactions considered in this NF 3 plasma model. The reactions among the molecules like NF 3, N 2 and O 2 in the plasma are much more complicated than that discussed in section Except for the collisional excitation to the metastable state in equation (3.17), the electron collisional excitation in equation (3.19), and the electron-ion recombination in equation (3.18), other reactions should also be included in the model. The neutral-neutral reactions include the thermal dissociation such as NF3 NF 2+F, (3.29) and NF 2+F2 NF 3+F. (3.30) 146

147 The ion-neutral reactions include the charge exchange reaction such as the ion-neutral reaction such as N + NF NF + N, (3.31) O + O 2 3 O + e, (3.32) and the ion-ion neutralization reaction such as F + NF NF + 2F. (3.33) The electron related reactions include the collisional dissociation such as and the ionization of molecules such as e + NF 3 NF 2 + F +e, (3.34) e + N N +2e. (3.35) For the surface reactions, except for the ion recombination and metastable atom quenching as discussed in section 3.6.3, the neutral-neutral recombination reaction should be included, as shown in the equation surface F + F F 2. (3.36) The complete list of all the reactions is shown in Appendix B 147,156. The heat transfer coefficient is determined by fitting the model-predicted neutral gas temperature to the experimentally measured, similar to the procedure discussed in Figure With the neutral gas temperature and the measured power shown in Figure 3.26 and Figure 3.27, the heat transfer coefficient is determined to be cal/cm 2 -k-sec for the best fit, as shown in Figure Similar to the experimental measurements, the model-predicted NF 3 dissociation percentage is about 96%, among which 80% forms N 2 and F and the rest forms other 147

148 species. The model-predicted species in the plasma at the condition of 667 sccm NF 3 and 2000 sccm Ar at 3.3kW are shown in Figure 3.35 and Figure The molar fraction of the un-dissociated NF 3 is found to be about 1.3%. Comparing it to the 33.3% molar fraction of NF 3 in the NF 3 /Ar mixture before the discharge, the model-predicted dissociation percentage is about 96%. The dissociation percentage, as measured by the FTIR under the same condition, was around 98%. The model-predicted NF 3 dissociation percentage is hence close to the experimentally measured dissociation percentage. Figure 3.35 also shows that among the dissociated NF 3 molecules, about 80% forms N 2 and F, and the rest 20% forms NF 2, NF, F 2 and N 2 F 2. The plasma neutrality is well kept in Figure 3.36 and a significant amount of negative ions, F -, was predicted in the model. The dominant positive ions in the plasma are Ar +, NF + 2 and N + 2 and the dominant negative species is F -. The sum of the positive ions is equal to the sum of the negative ions, which guarantees the plasma neutrality. The model predicts that the concentration of the F- ion is higher than that of the electron. Although comparable concentrations of the F - ion and the electron were predicted in the more rigorous fluorocarbon plasma model in standard inductively coupled plasma geometry 157, the much higher concentration of the F- ion compared to the electron may be a model artifact. For the dependences of the neutral gas temperature and the atomic fluorine concentration on the source pressure, a reasonable match between the experimentally measurements and the model prediction neutral gas temperature is observed, as shown in Figure 3.27 and Figure Figure 3.28 shows that the model predicted electron temperature is quite different from that of the experimentally measured, possibly due to the 148

149 incomplete consideration of all the excitation mechanisms of the neutral species in the plasma. 1 Molar Fraction Ar F N2 NF2 NF3 NF F2 N2F2 N Ar* N3 N2F4 Molecule/atom Figure 3.35 Model predicted molar fraction of the neutral species in the plasma of 667 sccm NF sccm Ar at the pressure of 2 torr. 1.E+00 1.E-01 1.E-02 Molar Fraction 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 F- Ar+ NF2+ e N2+ NF3+ F+ NF+ N+ F2+ Charged Particle Species Figure 3.36 Model predicted molar fraction of the charged particles in the plasma of 667 sccm NF sccm Ar at pressure 2 torr. e represents the electrons. The saturation of the etching rate observed in Figure 3.30 can not be explained by the increase of the atomic fluorine concentration shown in Figure 3.29, but by the change of 149

150 the molar fraction of the fluorine atoms in the plasma source. Since the process chamber pressure is fixed, the molar fraction of fluorine atoms coming out the plasma source really determines the partial pressure of the fluorine atom in the process chamber, and the etching rate of oxide film is then determined by the fluorine atom partial pressure. Therefore, the dependence of etching rate on the pressure should be similar to that of the molar fraction of the fluorine atoms on the pressure. Figure 3.37 shows that the dependence of the modelpredicted dependence of the molar fraction of fluorine atoms on the source pressure for the NF 3 + Ar plasma at the conditions specified in Figure The gradual saturation of the molar fraction of fluorine atoms at higher pressure explains the saturation of the etching rate with the plasma pressure Molar Fraction of F atom Plasma Source Pressure Figure 3.37 Dependence of the molar fraction of the fluorine atoms on the plasma source pressure for 667 sccm NF sccm Ar plasma, as predicted by the model The dependences of the plasma parameters on the NF 3 flow rate are shown in Figure Figure Using the power and the pressure information shown in Figure 3.31, the model predicts reasonable neutral gas temperature and electron temperature compared to 150

151 the experimental measurements. Similar fluorine atom concentration is predicted in the model as well. The dependence of the predicted molar fraction of the fluorine atom on the NF 3 flow rate is shown in Figure The fluorine atom molar fraction increases by about 2 times when the flow rate increases from 500 sccm to 4000 sccm. The increase is very similar to the increase of the etching rate at 200 o C in Figure Hence the model predicted increase of the fluorine atom molar fraction reasonably explains the increase of the etching rate at 200 o C. At lower temperature, the continuous increase of fluorine molar fraction does not increase etching rate anymore, which is due to the limitation of surface reaction, as discussed in section Fluorine atom molar fraction NF 3 Flow Rate (sccm) Figure 3.38 Dependence of the fluorine atom molar fraction on the NF 3 flow rate, as predicted by the model. The plasma is always composed of 2000 sccm Ar. The power and pressure information are shown in Figure The model was also used to study the effect of impurities in the plasma. For both N 2 addition and O 2 addition, no significant change of the electron temperature, density and 151

152 fluorine atom molar fraction were observed, which is consistent with the weak dependence of the etching rate on the impurity flow rate as shown in Figure Characterization of Fluorocarbon Plasma The neutral gas temperature of the fluorocarbon plasma was measured to be above 5000K and a linear dependence between the temperature and the plasma power was observed, as shown in section The high neutral gas temperature was justified by the power balance calculation. The linear dependence between the neutral gas temperature and the plasma power was probably caused by that the conduction to chamber wall and the convection were the major energy loss mechanism. The dependence between the neutral gas temperature and the plasma source pressure, and the dependence between the neutral gas temperature and the oxygen flow rate were believed to be actually reflected by the linear dependence of the temperature on the plasma power, as discussed in section Section discusses the Arrhenius type of dependence between the etching rate and the neutral gas temperature, which may indicate the importance of the pyrolysis process in the plasma Dependence of the Neutral Gas Temperature on the Plasma Power The dependences of the neutral gas temperature on the plasma power for three gas mixtures are examined. The 333 sccm C 2 F 6 mixture represents 333 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.7 torr. The 667 sccm C 2 F 6 mixture represents 667 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.9 torr. The 1000 sccm C 2 F 6 mixture represents 1000 sccm C 2 F sccm O

153 sccm Ar at the plasma source pressure of 3.6 torr. The oxygen to C 2 F 6 ratios in the plasma are all kept close to 3:1 and the plasma source pressure are so chosen to keep the downstream process chamber at a constant pressure of 2 torr, with the reason explained later. The plasma power is changed by changing the current set point since the plasma source is operated as a constant current source. For each gas mixture, the plasma power is found to be linearly dependent on the plasma current. Equation (3.37) shows the relationship between plasma power and resistivity for toroidal shape for Ohmically heated plasma, W L A ρ = 2 tot I, (3.37) in which Wtot is the plasma power, I is the current, L is the circumference of the toroid and A is the cross section. ρ is the averaged plasma resistivity, which usually has two terms as shown in equation (3.14), in which K 2 value for fluorocarbon plasma is estimated to be about 5µ10-7 W-m based on the current available cross-section data 158. For a 7 KW discharge, the root mean square of the current and voltage is measured to be around 70A and 100V. The average plasma resisitivity is about 0.1 W-cm and the calculated Spitzer resistivity is about W-cm with an electron temperature of 3 ev. Therefore, the majority part of the resistivity is provided by the electron-neutral collision. The electron density is estimated to be about 5µ10 12 cm -3 for 3eV electron temperature and 5000 K neutral temperature (3.38) The relationship between the current and the electron density is shown in equation I = nev A, (3.38) e d 153

154 in which e is the electron charge, A is the cross section area, and v d is the electron drift velocity in the plasma. Combining equation (3.38) and equation (3.14) with negligible Spitzer resistivity, one derives that the plasma resistance is inversely proportional to the current. Therefore, according to equation (3.37), the plasma power should be linearly proportional to the plasma current sccm C2F6 667sccm C2F6 1000sccm C2F6 Neutral Gas Temperature ( o K) Power (kw) Figure 3.39 Dependence of the neutral gas temperature on the plasma power for three gas mixtures. The 333sccm C 2 F 6 mixture represents 333 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.7 torr. The 667 sccm C 2 F 6 mixture represents 667 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.9 torr. The 1000 sccm C 2 F 6 mixture represents 1000 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 3.6 torr. The dependences of neutral gas temperature on the plasma power for the three gas mixtures are shown in Figure For all three gas mixtures, the neutral gas temperatures are found to be in the range of K and to be linearly dependent on the plasma power. In fact, the high neutral temperature helps to explain why a steady glow discharge can be sustained at such a relatively high pressure. The ratio between the electric field and the neutral density, E/n, under the current pressure and measured neutral temperature is 154

155 3µ10-16 V-cm 2, or the equivalent ratio between the electric field and the pressure, E/p, for a neutral gas temperature of 600 K is about 5 V/cm-torr. The values of E/p is large enough to be in the range in which steady state discharges can be maintained using negative discharge gases like oxygen. 159 If the neutral gas temperature were smaller, the effective E/p would be much smaller than required to maintain a steady state discharge. A back of the envelope calculation can be used to justify the measured neutral gas temperature and explain the dependence between the neutral gas temperature and plasma power. For the plasma as a whole, the input power ( W input the following four channels: dissociation of neutrals ( W plasma sheath to the chamber wall ( W torroid ( W conv ionloss ) should be dissipated in at least diss ), ion creation/loss through ), convection of thermal energy by flow from the ), and conduction of thermal energy from the plasma to the chamber wall ( W cond ), as shown in equation Winput = Wdiss + Wionloss + Wcond + Wconv. (3.39) A gas mixture of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar at pressure of 3 torr will be used as an example for this calculation. At input power of 7 kw, the measured neutral gas temperature is about 5700 K, as shown in Figure The dissociation energy is estimated to be about 2.1 KW, assuming complete dissociation occurs for the gas mixture to form C, F, O and Ar atoms. The dissociation power was calculated by summing up the energy necessary to break all the chemical bonds of the molecules entering the system per unit time. For the gas mixture under study, the 2.1 KW power dissociation power does not depend on neutral temperature assuming complete dissociation. 155

156 The power dissipation due to ion creation/loss to the chamber wall is estimated to be 2.1 KW. It can be calculated in the following equation 1 T e W = exp( ) An E 2 Mi ionloss s e loss 1 2, (3.40) which is product of ion flux through the sheath 91 and average energy for the ions. A s is the surface area, n e is the electron density and estimated to be 5µ10 12 cm -3, T e is the electron temperature and estimated to be 3 ev by the calculated E/p value. E loss is the average energy loss per ion. In the torroidal discharge, the chamber wall potential varies along the length of the metallic segment and equals the fraction of the single loop voltage that the segment encompasses. The average ion bombardment voltage is assumed to be approximately the average of the sheath potential along the segment. The estimated energy loss then is the sum of the ionization energy (~17 ev) and the average sheath potential (the plasma loop voltage divided by eight: 100V/8 since the torroid is constructed of four pieces), or about 30 ev. Based on the 30 ev per ion energy consumption, the power dissipation by ion loss is calculated to be 2.1 KW. When plasma power increases, the electron density will increase, which will increase the power dissipation through ion loss. The power loss due to convection, W conv, is estimated to be 1.0 KW. It can be calculated simply by ( ) W = MFR C T T, (3.41) conv p g inlet in which MFR stands for mass flow rate, C p is the heat capacity, T g is the neutral gas temperature and T inlet is the inlet gas temperature, usually around 300 K. The calculation 156

157 yields about 1 KW power loss at 5700 K neutral gas temperature and it is proportional to the neutral gas temperature. The conducted power to the wall, temperature 5700 K. W cond can be calculated in equation (3.42) W W cond, is estimated to be 1.7 KW at neutral T T =, (3.42) g w cond κt As Leff in which κ T is the thermal conductivity of the plasma, T w is the temperature of the chamber wall and L eff is the characteristic length scale and set to 1.25 cm as the radius. Notice κ T is proportional to the square root of neutral gas temperature divided by neutral mass and the reported value in standard condition should be adjusted to the current temperature. An average neutral particle mass of 40 a.m.u. was used. For the current neutral temperature, this conduction power loss is about 1.7 KW and it is approximately proportional to the neutral temperature. With cooling water flow rate at 3 gallon per minute, 1.7 KW causes the cooling water temperature to rise by less than 5 o. Therefore, the high gas temperature and hence high heat flux to the chamber wall should be well handled. The power loss through heat conduction to the wall can be justified by the spatial dependence of the neutral temperature. 141 Figure 3.16 shows the dependence of the neutral gas temperature of Argon plasma on the distance from the center of the cross section. The Ar plasma is generated by activating 2000 sccm Ar gases at 1 torr with 1.6 KW power. A parabolic shape is observed, as would be expected from a system with heat conduction taking an important role. 157

158 The four power losses add up to be around 6.9 KW, which is close to the input power 7.0 KW. The reasonable balance between the total input power and the total power dissipation serves as a good indicator that the measured neutral gas temperature is reasonable in the current plasma with high power densities. Calculation of the neutral heating power can be understood by considering the heating mechanisms of the neutrals. Electron - neutral elastic collisions, inelastic collisions or ionneutral collisions (incl. charge exchange). The electron-neutral collision frequency is about 800 MHz, 7 and the heating of neutrals due to elastic collision only contributes about 20 watts. Therefore, the majority heating of the neutrals likely comes from the translationally and vibrationally hot products created by dissociation and dissociative ionization. Due to its complicity of the plasma, and the lack of well-measured cross section data the amount translationally/vibrationally hot product heating cannot be computed, however such heating could be of order 50% of the sum of the dissociation power ( W diss ),and ionization power ( W ionloss ). This percentage can be justified by comparing the threshold energy of dissociation and the energy to break the bond in fluorocarons. For example, the electron impact dissociation threshold is 13.6eV for C 2 F 6, 158 while the energy necessary to break a C-C bond or C-F bond is 3.6 and 5.0 ev respectively. Typically only one or two bonds are broken as a result of a single electron impact process. The remaining energy will in large part be converted to translational and, vibration energy. For this process, of the order of 50% of the dissociation excitation energy will be converted to translational and vibrational energy of the neutral. Two body collisions rapidly equilibrate the translationally hot neutrals with the other neutrals, producing bulk heating of the gas. The equilibration of the vibrational energy typically requires three body collisions, therefore the cooling of the 158

159 vibrationally hot species is slower and not necessarily in equilibrium with the translational temperature; however, it serves as a source of heating to the translational temperature of the neutrals. Hence it is reasonable that the power of neutral heating is about 2.5 kw, which is close to the sum of the convection energy loss and the conduction energy loss. The comparison between the heating power to neutrals and power taken away by conduction and convection further justifies the measured high neutral temperature. When plasma power increases, if still assuming complete dissociation, the electron density and neutral temperature will both increase to cause more power dissipation through ion loss and convection/conduction. Since convection and conduction represents about 40% of the energy dissipation and they are both linearly proportional to the neutral gas temperature, the neutral gas temperature should be almost linearly dependent on the plasma power, as observed in Figure The linearly dependence is not strict since more power will be lost through ion loss when power is increased and hence the neutral temperature should exhibit a sublinear dependence. Closer inspection of the residuals of linear fit suggest that there is some sublinear curvature to the data, consistent with this conclusion. However, this curvature is mostly contained within the confidence limits of the data. Furthermore, the linear fitting lines for 333 sccm and 667 sccm mixtures are found to be parallel to each other while the linear fitting line for 1000 sccm seems to be different from them. This may be because that the gases are completely dissociated for 333 sccm and 667 sccm while not so complete for 1000 sccm, as observed by the mass spectrometer measurements carried out at the same time. Therefore, the gas composition in 1000 sccm will be different from that of 333 and 666 sccm gas mixtures, which causes a different power dependence of the neutral gas temperature. 667 sccm gas mixtures have lower 159

160 temperature than 333 sccm gas mixtures at the same power level simply because more gases need to be dissociated and heated Dependence of the neutral gas temperature on the plasma pressure and the oxygen flow rate for C 2 F 6 plasma Both the oxygen flow rate and the plasma source pressure affect the plasma behavior. Figure 3.40 shows the change of power and the neutral gas temperature as the oxygen flow rate and the source pressure change. The plasma is composed of 667 sccm C 2 F 6, 3000 sccm Ar, and three flow rates of O 2 are used: 1239 sccm, 1556 sccm and 2000 sccm. The plasma source pressure is changed between 2.5 torr and 6 torr and the plasma current is fixed. The plasma power is found to be a strong linear function of the plasma source pressure and a weak function of the oxygen flow rate. This can be explained by the change of the plasma resistivity. For the same gas mixture, an increase in pressure will cause an increase of the neutral density due to the ideal gas law. Other things equal, the resistivity of the plasma will increase, as shown in equation (3.14). Hence the power consumption should linearly increase with pressure, as observed in Figure 3.40 (a). Under the same pressure, changing the O 2 flow rate causes little change in the plasma power. Although changing O 2 flow causes plasma components to change, all these changes will be reflected in a small change of the parameter K2 in equation (3.14) due to the changes in the collisional cross section. 160

161 sccm O sccm O sccm O2 Plasma Power (kw) (a) Source Pressure (torr) sccm O sccm O sccm O2 Neutral Temperature ( o K) (b) Source Pressure (torr) Figure 3.40 Dependence of plasma power and the neutral temperature on the plasma source pressure for three different O 2 flow rate. (a) Dependence of plasma power on plasma source pressure. (b) Dependence of the neutral gas temperature on plasma source pressure. 161

162 sccm O sccm O sccm O2 Neutral Temperature (K) Power (kw) Figure 3.41 Dependence of neutral gas temperature on plasma power for different O 2 flow rate and pressure The dependencies of the neutral gas temperature on the source pressure and the O 2 flow rate is shown in Figure 3.40(b) and it is found that the neutral gas temperature linearly changes with source pressure and changing O 2 flow rate has some effects on temperature as well. By combining the data from Figure 3.40(a) and Figure 3.40(b) and plotting the dependence of the neutral gas temperature on the plasma power, a good linear dependence is found between the neutral gas temperature and the plasma power, as shown in Figure This demonstrates that for a constant current plasma source like the current one, the major dependence between neutral gas temperature and plasma condition is reflected through the dependence on the plasma power Dependence of the etching rate on the neutral gas temperature The information of the neutral temperature is useful for understanding some of the formation process in the plasma. Figure 3.42 shows the dependence between the etching 162

163 rate of silicon dioxide sample in the down stream process chamber and the neutral gas temperature. The sample is a square-shaped with an area of 2.2 cm 2 and the sample temperature is controlled at 100 o C by good contact with the sample holder. Etching rate is found to be a strong function of both sample temperature and process chamber pressure for a given rate of fluorine atom production from the plasma source. This is because the pure chemical etching strongly depends on the concentration of the fluorine atoms above the sample in the process chamber. This is why the specific plasma source pressure is chosen in the three mixtures of Figure 3.39 to guarantee a constant pressure in the process chamber of 2 torr. 3.2 Ln(ER) (ln(a/min)) sccm 667sccm 1000sccm /Tg (1/K) Figure 3.42 Dependence of the logarithms of etching rate on the inverse neutral gas temperature. With the same process chamber pressure and the same sample temperature, the etching rate is believed to be proportional to the fluorine atom production rate from the plasma source. For the three gas mixtures we studied in this paper, Figure 3.42 shows that the etching rate has an Arrhenius dependence on the neutral gas temperature. Therefore, the 163

164 fluorine production rate in the plasma source exhibits an Arrhenius dependence on the neutral gas temperature. The Arrhenius type of dependence between the fluorine production rate and the neutral gas temperature may indicate the importance of the pyrolytic dissociation in our plasma, which can be judged by comparing the residence time of the neutral and the relaxation time for pyrolytic dissociation. C 2 F 6 can be pyrolyticcally dissociated to two CF 3 radicals or one CF 2 plus one CF 4 radicals. Burgess et al measured the reaction rate of recombination of two CF 3 radicals and CF 2 plus CF 4 radicals at neutral temperatures less than 2000 K. 160 Combined with the thermodynamics data provided by NIST, the pyrolytic dissociation rate of C 2 F 6 can be estimated. When the neutral temperature is 1000 K, the residence time is 6 ms while the relaxation time, the characteristic time for C 2 F 6 dissociation, is about 60s. The relaxation time is so much larger than the residence time that the pyrolytic dissociation cannot play an important role, in most fluorocarbon plasma like those used for dielectric etching in which the major dissociation mechanism is the electron collisional dissociation. However, when the neutral gas temperature is 2000 K, the residence time is about 3 ms while the relaxation time is as small as 200 ns. In this case, the pyrolytic dissociation might be significant. Although these estimates for the reaction rate are crude, they clearly suggest the importance of pyrolytic decomposition at the neutral temperatures that exist in this reactor. Given that the neutral gas temperature is close to 6000 K in our plasma, the ratio between the relaxation time and the residence time will even be smaller, although no reliable reaction rate data is available for this temperature range. Nevertheless, the comparison between the residence time and the dissociation time clearly shows the importance of the pyrolytic process. 164

165 This suggested importance of pyrolytic dissociation may impact our previous power calculations based on electron collisional dissociation. Present data suggests that both collisional dissociation and pyrolytic dissociation will play important roles in forming the plasma, but does not identify which is more important. Actually, as measured by Fourier Transformed Infrared Spectroscopy at the exhaust of the dry pump, the dissociation percentage of C 2 F 6 is more than 98%. Furthermore, it is still not well understood why the etching rate and the neutral gas temperature have the Arrhenius type of dependence if the fluorocarbon gases are complete dissociated, but could suggest the incomplete dissociation of fragments of C 2 F 6 It is also unknown, although interesting to observe, why the linear fitting line is parallel to each other for 333 and 667 sccm gas mixtures, while the linear fitting line of the 1000 sccm gas mixture seems to be significantly different. 3.9 Summary This chapter characterized the plasma formed in the Transformer-Coupled Toroidal Plasma source. This work was the first measurement of the neutral gas temperature of a toroidal geometry with the high power density as that in the TCTP. The neutral gas temperature of an argon plasma was found to be around 2000K, by fitting the rovibrational bands of diatomic molecules like N 2 to obtain its rotational temperature. Assuming that the rotational mode and the translational mode are in equilibrium since the discharge pressure is high and energy is rapidly exchanged by two-body collision, the Ar neutral temperature is the same. When the neutral gas temperature is measured by fitting the added N 2 gases, less than 1% N 2 should be added as the trace gas to measure the neutral gas temperature in the argon plasma, since the perturbation of the Ar plasma was found to be significant with higher 165

166 flow rate of the added N 2. The fitted temperature was found to depend neither on the optical resolution nor on the selection between one single band and a band series, as would be expected. This chapter also discusses the first reported application of the Swan bands of the C molecule ( d Π a Π ) in determining the neutral gas temperature of the fluorocarbon g u plasma. The neutral gas temperature measurements using C 2 molecules were validated by comparing temperature measurements made using the rotational spectra of N 2 added to the discharge. Sufficient C 2 molecules were formed in a discharge formed from mixtures of C 2 F 6, O 2 and Ar at high power densities (15W/cm 3 ) to allow temperature measurements. The high plasma pressure was sufficient to equilibrate the rotational modes of the excited states of C 2 and the translational modes of both the N 2 and C 2 neutral gases. The characterization of the argon plasmas formed in a gas mixture of 2000 sccm argon at the pressure of 2 torr and the power density of about 5.5 W/cm 3 found that the electron temperature, the electron density and the neutral gas temperature to be about 2 ev, 6.0µ10 12 cm -3, and 1700K, respectively. Experimentally, the spatial profile of the neutral gas temperature was found to be parabolic across the plasma toroidal cross-section with the maximum rather than at the mid-point of the cross-section, nearer the center of the toroidal loop, due to the higher electrical field, and thus, heating rate nearer the center of the toroidal loop center. The neutral gas temperature seemed to have a logarithmic dependence on the source pressure, similar to other observations in the literature. A simplified global plasma model was constructed and included the heating of the electron gas by a uniform electric field, the loss of energy from the gas to determine the electron temperature, ion and electron losses at surfaces, and formation and loss of species by the electron impact and 166

167 surface recombination. A good match of the pressure dependence of the electron temperature was found between the model prediction and the experimental results. The model further predicted that the neutral gas temperature and electron density dramatically increases with the plasma power, while the electron temperature changed little. A NF 3 plasma, formed with a gas mixture of 667 sccm NF 3 and 2000 sccm Ar at the power density of 10W/cm 3 and at the pressure of 2 torr had a neutral gas temperature, a electron temperature and an atomic fluorine concentration of about 2700 o K, 1.7 ev and 3µ10 15 cm -3, respectively. A global plasma model with 51 plasma phase species, 272 plasma-phase reactions and 21 plasma-surface reactions was constructed. The experimental measured and the model predicted dissociation percentages of NF 3 were both found to be around 97%, among which 80% formed N 2 and F atoms and the rest forms some other species. The atomic fluorine concentration was found to linearly increase with the plasma source pressure, as both measured in the experiments and predicted in the model. The model also predicted that the dissociation to form fluorine atoms increased with pressure and saturated at 4 torr. This is consistent with the observation that the etching rate of the oxide film, in a constant process chamber pressure, increased with the plasma source pressure until about 4 torr and then stayed constant. The model predicted the molar fraction of fluorine increases with the increase of the NF 3 flow rate in the gas mixture of NF 3 and argon, which explains the dependence of the etching rate on NF 3 flow rate in the process chamber with the pressure fixed and the etching rate in the non-saturated regime. The translational/rotational neutral gas temperature of the fluorocarbon plasma was measured to be above 5000K and a linear dependence between the temperature and the plasma power was observed. The high neutral gas temperature was justified by the power 167

168 balance calculation. The linear dependence between the neutral gas temperature and the plasma power was probably caused by that the conduction to chamber wall and the convection, both of which are exhibit linear relations between power loss that gas temperature. The dependence between the neutral gas temperature and the plasma source pressure, and the dependence between the neutral gas temperature and the oxygen flow rate were believed to be actually reflected by the linear dependence of the temperature on the plasma power. A power balance calculation found that for the 7kW power entered the plasma with 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar at the pressure of 3 torr, the power used for the dissociation was about 2.1 kw; the power taken away by the convection was about 1.0kW; the power dissipation due to the ion creation and loss to the chamber wall was about 2.1 kw; and the power conducted to the chamber wall by the neutrals was about 1.7 kw. An Arrhenius-like of dependence between the etching rate and the neutral gas temperature was observed, which suggests the importance of the pyrolytic dissociation in the plasma. 168

169 Chapter 4 Experimental Study of Plasma Surface Interactions Using the Remote Plasma Source 4.1 Introduction Plasma-surface interactions using the TCTP remote plasma source are studied by measuring the etching rates as a function of species concentrations and analyzing the correlations of these measures as well as the time responses of the system to changes. The etching rate was measured using the interferometry, the species concentration in the processing chamber was measured using the mass spectrometer, and the stable gas species in the exhaust were measured using the FTIR. In addition, the surface topology and elemental composition were measured using the AFM and the XPS. Five topics related to plasma-surface interactions are discussed in this chapter. Section 4.2 discusses the enhancement of the silicon and silicon dioxide etching rates by surface treatment with nitrogen containing gas addition in the remote fluorocarbon plasmas. Nitrogen surface treatment was shown to block the surface recombination to form COF 2 and favoring the formation of CO 2, thereby increasing the fraction of atomic fluorine available for processing. For the first time, the formation of COF 2 was shown to cause the etching rate of oxide films in remote fluorocarbon plasmas to typically be one half of that in the nitrogen trifluoride plasma for the same atomic fluorine content of feed gas flow. The nitrogen surface treatment was developed and increased the oxide etching rates to the point that the rates were equivalent to that of NF 3. With the enhancement, the etching rate of SiO 2 in fluorocarbon mixture was found to be comparable to that of NF 3 for the same amount of elemental fluorine flow rate. 169

170 Fluorocarbon gases were examined extensively in the past to replace the NF 3 with little success because the etching rate using the fluorocarbon plasma was found to be much smaller than that of using the NF 3 plasma. 161 The lower etching rates were associated with the incomplete dissociation of the fluorocarbon gases. Our work demonstrated that the lower etching rates observed using fluorocarbons was not caused by incomplete dissociation, but was caused by fluorine consumption to form COF 2. With nitrogen addition which blocked the surface recombination to form COF 2, the etching rates were approximately doubled; i.e., with N 2 addition to a feed gas mixture of perfluorocarbon (CF 4, C 2 F 6, C 3 F 8 and C 4 F 8 ), oxygen, and argon. Section 4.3 discusses the replacement of the nitrogen trifluoride by the fluorocarbon gases for the remote plasma processing. Fluorocarbon gases were shown to be suitable in replacing the nitrogen trifluoride for the remote plasma cleaning of chambers by their comparable etching rates, the low global warming gas emissions and the clean surfaces after processing. The emissions of the global warming gases from the remote plasma process must be low for the environmental protection. Fluorocarbon gases are more difficult to dissociate in a discharge, thereby creating fluorocarbons in the exhaust gas emissions. In addition, fluorocarbon dissociation moieties can recombine to form species such as CF 4 which can be found in the exhaust emissions. The emissions of fluorocarbon global warming gases were found to be low in the remote processing with fluorocarbon plasma under desirable operating conditions, as discussed in section The surface exposed to fluorocarbon remote plasma cleans under desirable conditions was shown to be free of carbon contamination, as discussed in In the past, it was believed that fluorocarbon remote plasma cleans produced polymer depositions that require more 170

171 frequent wet cleans to remove. 161 The propensity of fluorocarbon cleans to deposit polymers occurs to a greater extent in remote cleans in which no ion bombardment occurs during the cleaning. These observations dissuaded the industry from developing industrial processes based on fluorocarbon feed gases. Section 4.4 discusses the dependence of the etching rate of the silicon dioxide on the operation parameters using the remote fluorocarbon plasma. The following silicon dioxide etching rate dependences on the operational parameters using the remote fluorocarbon plasma were found 1) that the dependence of the etching rate on the oxygen percentage is related to COF 2 formation, 2) the etching rate increases with the power when the dissociation is not complete, and 3) the etching rate has an Arrehnius dependence on the wafer temperature. Understanding these dependences not only increases the fundamental knowledge of the remote processing, but also helps find the optimal operation condition for the fluorocarbon gas mixtures in the practical applications. Section 4.5 discusses the saturation of the etching rate of the silicon dioxide with the partial pressure of fluorine atoms. At high F atoms concentrations, the saturation of the etching rate was observed and characterized as a function of temperature and partial pressure of atomic fluorine. This was the first time in which such a saturation was observed for oxide etching in atomic fluorine. Two regimes were observed in the dependence of the etching rate of oxide on the total flow rate of NF 3 : 1) the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus partial pressure of F atoms), and 2) the saturation regime in which the etching rate was independent of the atomic fluorine partial pressure. In the saturation regime the extent of F adsorption on the surface approaches its saturation limit and the 171

172 etching rate is limited by the surface reaction of the adsorbed F with the surface. In the linear regime, the etching rate is primarily limited by the extent of surface F adsorption. Assuming that the extent of F adsorption is in equilibrium with the partial pressure of F in the gas, the etching rate is proportional to the partial pressure of F in the gas phase. Section 4.6 discusses the different effects of the additive gases on the etching rates of the silicon dioxide film and the silicon nitride film which were found to be very different. It is commonly believed that the gas impurities affect the etching rate of the downstream film, which drives the industry to pursue high gas purities; e.g., up to %. The use of lower gas purities could reduce the cost of the gas production. Impurities in the NF 3 gases were typically found to increase the power consumption and the neutral gas temperature of the plasmas, but not to change the etching rate of the silicon dioxide. However, the addition of O 2 in NF 3 /Ar and the addition of N 2 in C 2 F 6 /O 2 /Ar or SF 6 /O 2 /Ar were found to dramatically increase the etching rate of the silicon nitride. The enhancement may be caused some other mechanisms other than NO assistance 48-50, , which requires further investigation. 4.2 Silicon and Silicon Dioxide Etching Rate Enhancement by Nitrogen Containing Gas Addition in Remote Fluorocarbon Plasma Among common gases used for plasma processing, nitrogen is known for its capability to modify the plasma-surface interactions. Generation of atomic oxygen is strongly enhanced in the presence of nitrogen in the oxygen discharges, 165 and nitrogen addition in the remote plasma source for the atomic fluorine production can increase the etching rate of silicon nitride by seven times

173 This section reports the doubling of SiO 2 etching rates with N 2 addition to perfluorocarbon (CF 4, C 2 F 6, C 3 F 8 and C 4 F 8 ), oxygen, and argon mixtures. The Fourier Transformed Infrared Spectroscopy (FTIR), Mass Spectrometer (MS), Optical Emission Spectroscopy (OES) and X-ray Photoelectron Spectroscopy (XPS) were used to determine the mechanism of the etching rate enhancement. The experimental apparatus is shown in Chapter 2. It was found that the addition of 2.5% and 5% N 2 doubled the etching rate, as the CO 2 increased and the COF 2 decreased in the exhaust gas. Figure 4.1 shows the time series of the SiO 2 etching rate and the gas concentration at the pump exhaust. Feed gas mixtures composed of 250 sccm C 4 F 8, 1750 sccm O 2 and 2000 sccm Ar were activated by 9 kw of power at a pressure of 2 torr. The etching rate of SiO 2 doubled, going from 700 Angstroms/min to about 1400 Angstroms/min with both 100 and 200 sccm N 2 addition. The concentration of CO 2 and COF 2 without N 2 addition was 35,000 ppm with no C 4 F 8 or CF 4 observed in the exhaust, indicating complete dissociation of the fluorocarbons. With N 2 addition, the COF 2 concentration dropped to 11,000 ppm and the CO 2 concentration increased up to 46,000 ppm while the etching rate was doubled. The etching rate and the exhaust gas concentrations were stable while N 2 was added, and upon termination, slowly returned to the levels before N 2 was introduced. The dramatic increase of fluorine atom generation in the plasma source is not possible since the high power density (>15W/cm 3 ) in the plasma source causes near full dissociation of the feed. The concentrations of fluorine and oxygen atoms in the plasma source were measured by argon actinometry. 166 Figure 4.2 shows the comparison of the spatial resolution of Ar spectral line at 7504 Å wavelength with and without N 2 addition. The ratio 173

174 of F (7037Å) over Ar (7504Å) and the ratio of O (8446Å) over Ar (7504Å) did not change when N 2 was added. Furthermore, the electron temperature, as measured by the relative ratios of Ar spectral lines 95 and the neutral gas temperature, as measured by rovibrational band fitting 139, did not exhibit any change when N 2 was added. The residence time of gas in this system is approximately 100 milliseconds, while the characteristic relaxation time of the COF 2 and CO 2 is of order 10 minutes. Gas phase reactions for the loss of COF 2 and CO 2 which cannot be longer than the gas residence time in this system cannot explain these long transients. Therefore, the effect of N 2 addition must be associated with surface modifications that alter the surface formation of COF 2 and CO 2. It is also unlikely that new species are formed to change the etching chemistry. Although it is commonly believed that addition of N 2 generates NO molecules to remove the N atoms from Si 3 N 4 film and increase the etching rate 167,168, no NO was observed in the FTIR or mass spectrometer and NO is not known to enhance the etching rate of SiO 2 and Si. XPS experiments observed no nitrogen on both SiO 2 and Si samples either. 174

175 1700 I II III IV V Etching Rate (Angstrom/min) (a) Time(s) Exhaust Gas Concentration (ppm) (b) I II III IV V CO2 COF Time (s) Figure 4.1 Time series of (a) etching rate and (b) exhaust gas concentration (FTIR) during the experiment with N 2 addition in a gas mixture of 250 sccm C 4 F 8, 1750 sccm O 2 and 2000 sccm Ar. Gas mixture of C 4 F 8, O 2 and Ar were added and ignited at time 50s, the pressure of the plasma source was adjusted to 2 torr at time 250s, then 100 sccm N 2 was added in at time 720s and stopped at 1280 s. Later, 200 sccm N 2 was added and stopped at 2000 s and 2780 s. 175

176 3.5 Integrated Peak Intensity (a.u.) With N2 Addition Without N2 Addition Position (mm) Figure 4.2 Spatial Dependence of peak intensity at 7504 Å with and without N 2 addition. Position zero represents the center of the plasma source chamber. The optical system is not perfectly aligned with the axis of the chamber, which may cause the observed nonsymetry. The authors believe that it is the N-containing species chemisorb on the reactor and transfer tube walls, blocking the sites necessary for heterogeneous surface recombination process. We proposed that the blocked sites reduce this or another recombination reaction for COF 2 formation CO + 2F + COF +, (4.1) 2 thereby favoring of the formation of CO 2 CO + O + ** CO + **. (4.2) Alternatively, the concentration of atomic oxygen could be modified by nitrogen species blockage of surface sites for O atom loss, thereby increasing the concentration of O atoms. The resulting higher O atom concentration would favor the production of CO 2 over COF 2. However, greater O 2 feed gas flow rates did not exhibit remarkably greater etching rates which would be expected with this alternative mechanism. Additionally, as measured by 2 176

177 the mass spectrometer with N 2 addition, the concentration in the chamber of O atoms does not change and O 2 decreases. The reduction of reaction (4.1) results in more fluorine atoms being transported to the process chamber and increasing the etching rate of both SiO 2 and Si since both are typically limited by the fluorine atom flux to the surface. 27 As shown in Figure 4.1(b), when the flow of N 2 is stopped, the chemisorbed N species are gradually desorbed over a time of order 10 minutes, thereby increasing the formation of COF 2 resulting in a gradual decrease in the etching rate. This argument is supported by the observation that greater additions of N 2 did not change the COF 2 concentration or the etching rate. Based on this understanding, any method that preferentially blocks the surface recombination sites for COF 2 should have a similar effect. It is well known that the material and geometry of the transfer tube can change the surface recombination. 168 For example, fluorine recombination is more rapid on aluminum surface than on Teflon. We deposited a fluorocarbon layer (similar to Teflon) on the transfer tube by stopping the O 2 flow rate for a few seconds to create fluorocarbon fragments that can deposit on the walls; as seen in Figure 4.3. When the oxygen flow rate was shut off for two seconds and then restarted, the COF 2 concentration decreased abruptly and the CO 2 concentration increased. The etching rate also doubled at the transient shut off of the O 2 flow rate. With time the etching rate slowly decreased, and the COF 2 and CO 2 concentration returned to their initial values, as the fluorocarbon film was etched exposing the blocked recombination sites. However, N 2 addition is preferable since a steady condition can be maintained with continuous N 2 addition and no film is deposited on the chamber wall. 177

178 Etching Rate (A/min) (a) Time (s) Exhaust Gas Concentration (PPM) CO2 COF2 (b) Time (s) Figure 4.3 Time series of (a) etching rate and (b) exhaust gas concentration (FTIR) during the experiment of transient O 2 shut off. A gas mixture of 250 sccm C 4 F 8, 1750 sccm O 2 and 2000 sccm Ar were ignited at time -800s, and the pressure was adjusted to 2 torr at time, 600 s. At time zero, the O 2 flow rate was shut off for 2 second and re-opened. Finally the plasma and gases are turned off at time 450 s. Another proof of the proposed mechanism is the line-of-sight MS measurement directly on the process chamber. Figure 4.4 shows the change of the spectra when 50 sccm N 2 is added in to a gas mixture of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar. The intensities of these peaks were adjusted by the sensitivity of mass filter and multiplier, but not for the difference of the ionization cross section. Both COF 2 + and COF + peaks 178

179 decreased while CO + 2 and CO + peaks increased with N 2 addition, consistent with the observed COF 2 decrease and CO 2 increase by FTIR. The atomic fluorine concentration increased when N 2 was added, consistent with increase of etching rate of SiO 2 or Si samples in the process chamber. 6 Ion Signal Intensity (a.u.) N+ O+ F+ HF+ N2+/CO+ CF+ O2+ F2+ Ar+ CO2+ COF+ CF2+ COF2+ Ion Species CF3+ C2F5+ With N2 Without N2 Figure 4.4 Comparison of ion species concentration with or without N 2 addition in the plasma of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar, as measured by mass spectrometer. Since the effect of N 2 addition is to block the surface recombination sites, addition of more N 2 should not further increase the etching rate. Figure 4.5 shows the effects of the addition of different amount of N 2 in 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar mixture. It can be seen that the etching rate increased and then leveled off after 100 sccm N 2 addition and the COF 2 concentration decreased sharply and then kept constant after 100 sccm N 2 was added. Figure 4.1 and Figure 4.3 also explain why the etching rate of oxide with fluorocarbon chemistry is usually much worse than that of nitrogen fluoride. For a nitrogen trifluoride gas mixture with the same total flow rate and the same elemental fluorine flow rate (

180 sccm NF sccm Ar), the etching rate was about 1400 Å/min. The etching rate of oxide using the fluorocarbon gas mixture was about 700 Å/min without N 2 addition, which was only about one half of that of the NF 3 mixture. The reason is because much of the fluorine is in COF 2 form without N 2 addition, which reduces the fluorine atoms that is released to the downstream process chamber. Etching Rate (Angstrom/min) Etching Rate COF2 Concentration Exhuast Gas Concentration (ppm) Added N2 Flow Rate (sccm) Figure 4.5 Dependence of etching rate of SiO 2 and COF 2 concentration in the exhaust gas on the added N 2 flow rate in plasma composed of 667sccm C 2 F 6, 1333 sccm O 2 and 2000sccm Ar. The increase of the etching rate and decrease of COF 2 were found to be common phenomena for N-containing gases such as N 2, NO and NF 3 in to the mixtures of argon, oxygen and all perfluorocarbon gases like CF 4, C 2 F 6 and C 3 F 8. Similar increase of etching rate is observed during silicon etching. 180

181 Concentration (PPM) Plasma Started with 100sccm N2 Turn off N2 and Wait Add 100sccm N2 C4F8 CO2 COF2 Shut Down (a) Time (S) Etching Rate (A/min) Plasma Started with 100sccm N2 Turn off N2 and Wait Add 100sccm N (b) Time (s) Figure 4.6 Time series of (a) exhaust gas concentration(ftir) and (b) etching rate during the experiment with N 2 pretreatment in a gas mixture of 250 sccm C 4 F 8, 1750 sccm O 2 and 2000 sccm Ar. The pretreatment gas mixture composed of 100 sccm of N 2 and 2000 sccm of Ar. The process chamber pressure is 2 torr. The mounting with SiO 2 surface deposits on it was controlled at 100 o C. The pretreatment of the surface by N 2 allows the etching of oxide film to start at a high etching rate, as shown in Figure 4.6, the time series of (a) the etching rate and (b) the 181

182 exhaust gas concentration (FTIR) during the experiment with the N 2 pretreatment in a gas mixture of 250 sccm C 4 F 8, 1750 sccm O 2 and 2000 sccm Ar. The pretreatment gas mixture composed of 100 sccm of N 2 and 2000 sccm of Ar. It was activated at the 100 seconds for 3 seconds. The SiO 2 surface deposit was put on the mounting with the temperature controlled at 100 o C. With the pretreatment gas mixture still flowing, the cleaning gas mixture composing of 1750 sccm O 2, 250 sccm Zyron 8020 (C 4 F 8 ) was added in. The process chamber pressure was 2 torr. The emission gases of C 4 F 8, CO 2, and COF 2 were monitored by FTIR and are shown in (a). After the pretreatment, the etching rate started at a high level, as shown in (b), and the COF 2 emission was low. With the N 2 still available in the gas mixture, the system was kept in a high etching rate state. When removing N 2 from the system, the etching rate dropped slowly and the COF 2 emission increased slowly. At the time of 1850 seconds, 100 sccm N 2 was added to the feeding gas again. As a result, the etching rate jumped up, the COF 2 emission dropped and the CO 2 emission increased immediately. The power was turned off at 3160 seconds. In conclusion, the addition of 3% N 2 in perfluorocarbon, oxygen and Ar mixtures was found to double the etching rate of SiO 2 and Si in downstream chemical dry etching. For the first time, the formation of COF 2 was shown to cause the etching rate of oxide films in remote fluorocarbon plasmas to typically be one half of that in the nitrogen trifluoride plasma for the same atomic fluorine content of feed gas flow. The nitrogen surface treatment was developed and increased the oxide etching rates to the point that the rates were equivalent to that of NF 3. Nitrogen surface treatment was shown to block the surface recombination to form COF 2 and favoring the formation of CO 2, thereby increasing the fraction of atomic fluorine available for processing. A surface mechanism for these 182

183 phenomena is confirmed by the long system relaxation time to the lower etching rate state after N 2 flow has been terminated, approximately 5 orders of magnitude longer than the residence time of any gas within the system. With the enhancement, the etching rate of SiO 2 in the fluorocarbon mixture was found to be comparable to that of NF 3 for the same amount of elemental fluorine flow rate. Fluorocarbon gases were examined extensively in the past to replace the NF 3 with little success because the etching rate using the fluorocarbon plasma was found to be much smaller than that of using the NF 3 plasma. 161 The lower etching rates were associated with the incomplete dissociation of the fluorocarbon gases. Our work demonstrated that the lower etching rates observed using fluorocarbons was not caused by incomplete dissociation, but was caused by fluorine consumption to form COF 2. With nitrogen addition which blocked the surface recombination to form COF 2, the etching rates were approximately doubled; i.e., with N 2 addition to a feed gas mixture of perfluorocarbon (CF 4, C 2 F 6, C 3 F 8 and C 4 F 8 ), oxygen, and argon. 4.3 Replacement of Nitrogen Trifluoride by Fluorocarbon Gases for Remote Plasma Processing Section 4.2 establishes that the etching rate of the silicon dioxide film with remote fluorocarbon chemistry is comparable to that of using nitrogen trifluoride with the enhancement of N 2 addition. In order to replace nitrogen trifluoride by fluorocarbon gases, another two conditions, the low global warming gas emissions and the non-polymer surface finishing, should be satisfied. Section shows that at the optimized O 2 condition, there are no observable PFCs emissions from C 2 F 6, C 3 F 8 and C 4 F 8 discharges.. For 183

184 fluorocarbon gases at optimized O 2 percentage, the sapphire samples were found to be clean without fluorocarbon film deposition, as discussed in Section Global warming gas emission The perfluorocarbon gases (PFCs) are global warming gases Table 4.1 shows the global warming potentials (GWPs) of the most commonly used gases in semiconductor processing 172, including non-pfcs such as SF 6, NF 3 and CHF 3. The GWPs indicate the relative effect of the gas on the environment relative to carbon dioxide. The CF 4, C 2 F 6, C 3 F 8 and NF 3 gases have much longer life time than CO 2 and much worse global warming potential. The global warming gas emission is usually a big problem associated with in situ chamber cleaning 22. Table 4.1 PFC Characteristics Chemical name Formula Toxicity Lifetime (years) Global warmng potential (100 years) Carbon dioxide CO 2 n/a HC-23 CHF 3 low ,100 Nitrogen trifluoride NF 3 high ,100 HC-218 C 3 F 8 low Sulfur hexa-fluoride SF 6 low ,900 HC-14 CF 4 low 50, HC-116 C 2 F 6 low 10,000 12,500 HC-318 C 4 F 8 Low 3,200 8,700 The semiconductor industry has been committed to develop methods to reduce the global warming gas emissions. The 1999 International Technology Roadmap for Semiconductors (ITRS) describes the adoption of the World Semiconductor Council (WSC) goals, which in April 1999 declared an industry-wide goal of 10% reduction of the PFC emissions by Therefore, the global warming gas emission must be checked for all 184

185 the semiconductor process, especially for processes such as the remote chamber cleaning where a large flow rate of gases is used Exhaust Gas Concentration (PPM) Turn on Ar Plasma Add in O2 then C 4F 8 C4F8 CO CO2 C2F6 C3F8 CF4 COF2 SiF4 CO 2 Shut Down Plasma Power COF 2 C 4F 8 Turn off Gas Time (s) Figure 4.7 FTIR Measurement of C 4 F 8 Gas Mixture Emission. The gas mixture was composed of 250 sccm C 4 F 8, 2250 sccm O 2, 100sccm N 2 and 1500 sccm Ar. The plasma source chamber pressure was 2.84 torr and the diluting purging N 2 gas to the dry pump was 44 slm. Ar plasma was turned on at 150 second, then N 2 was added, followed by O 2 and C 4 F 8. The plasma power was shut down at 1120 s. Fourier Transformed Infrared Spectroscopy (FTIR) was carried out to measure the gas concentration in the exhaust line of the pump. Measurements of NF 3 show that NF 3 is nearly completely decomposed by the ASTRON ex plasma source (up to 99%), similar to the results reported by other researchers 52. Figure 4.7 shows the time series of the exhaust gas concentration for the C 4 F 8 gas mixture. C 4 F 8 was virtually completely destroyed with no measurable CF 4, C 2 F 6, C 4 F 8, or other perfluorocarbon compounds (PFCs). Negligible amount of SiF 4 was observed since the sample used in the experiments was too small to contribute a significant amount of SiF 4. Other data suggest that when the O 2 percentage was lower than 60%, CF 4 began to appear in the exhaust line and C 4 F 8 was observed in the exhaust line when the O 2 percentage was below 50%. 185

186 The CO 2 and COF 2 gases are easier to be abated before released to the atmospheric pressure, while CF 4 is is usually very difficult to dissociate. 172 Even with the current plasma source, the dissociation percentage of CF 4 gases is only about 60%, which leaves a significant amount of CF 4 un-dissociated and difficult to abate. Therefore, among all the fluorocarbon gases, CF 4 is not suitable in replacing NF 3 for the remote chamber cleaning. At the optimized oxygen percentage as discussed in section 4.4.1, no fluorocarbon gases were observed in the exhaust gas for C 2 F 6, C 3 F 8 and C 4 F 8, which suggests that the fluorocarbon gases except for CF 4 are suitable in replacing NF 3 in this aspect Surface Finishing After Cleaning The surface composition and morphology of sapphire samples held at room temperature were measured before the exposure to the activated gases in the process chamber and after exposure with and without the oxygen addition. The sapphire samples, instead of the silicon or silicon dioxide samples, were used for two reasons. One, the etching of the sapphire wafer by fluorine atoms is negligible, and hence the deposition should be easy to show on the sapphire wafer if any. Two, most commercial chamber walls are made of anodized aluminum and the sapphire wafer can be used to well represent its composition and monitor the wall damage during the cleaning. Low electrode temperature instead of high temperature was used because the low temperature was more preferable for the deposition if it existed. Shown in Figure 4.8 and Figure 4.9 are the X-ray Photoelectron Spectroscopy (XPS) and Atomic Force Microscope (AFM) measurements of these surfaces. The XPS results of the sapphire wafer exposed to the remote chamber cleaning with the optimized O 2 addition 186

187 in the C 4 F 8 plasma are shown in Figure 4.8 (a) after a 10 minute exposure. Peaks of oxygen, aluminum and fluorine were present on the surface. However, no carbon was observed. Without O 2 addition, as shown in Figure 4.8(b) after a 10 minute remote plasma exposure, only the carbon and fluorine peaks were observed on the surface, indicating a deposition of a fluorocarbon film that covers the sapphire sufficiently that the substrate cannot be seen. 400 (a) Counts Oxygen (Auger) Fluorine (Auger) Fluorine 1S Oxygen 1S Carbon 1S Aluminum 2S Aluminum 2P 400 Fluorine (b) Fluorine 1S 300 (Auger) Counts Carbon 1S Binding Energy (ev) Figure 4.8 XPS study of Sapphire wafers exposed to remote plasma source for 10 minutes. (a) With optimized O2 and sufficient power. (b) Without enough O2 or power. The AFM measurements of the surface before and after 10 minute optimized O 2 addition and no oxygen addition are shown in Figure 4.9. Figure 4.9 (a) and (b) show no measurable change with the sapphire exposure to the remote fluorocarbon plasma with the optimized O 2 addition after 10 minutes. The smooth surface of Figure 4.9 (c) is consistent with the deposition of a fluorocarbon polymer film on the surface when the sapphire was 187

188 exposed to the fluorocarbon plasma without or with insufficient O 2 addition, or with insufficient power consumption. (a) (b) (c) Figure 4.9 Atomic Force Microscope (AFM) micrographs of sapphire wafers -10 min plasma exposure at room temperature. (a) Before remote chamber cleaning (b) Remote chamber cleaning with optimized O 2 and sufficient power (c) Remote chamber cleaning with insufficient O 2 or insufficient power In conclusion, fluorocarbon gases were shown to be suitable in replacing the nitrogen trifluoride for the remote plasma cleaning of chambers by their comparable etching rates, the low global warming gas emissions and the clean surfaces after processing. The emissions of fluorocarbon global warming gases were found to be low in the remote processing with fluorocarbon plasma under desirable operating conditions, The surface 188

189 exposed to fluorocarbon remote plasma cleans under desirable conditions was shown to be free of carbon contamination. 4.4 The dependence of etching rate of oxide on operation parameter using remote fluorocarbon plasma This section discusses the dependence of the etching rate of the silicon dioxide on the operation parameters using the remote fluorocarbon plasma. Understanding these dependences not only increases the fundamental knowledge of the remote processing, but also helps find the optimal operation condition for the fluorocarbon gas mixtures in the practical applications. Section finds that the dependence of the etching rate on the oxygen percentage is related to COF 2 formation. Section finds that the etching rate increases with the power when the dissociation is not complete. The etching rate was found to have an Arrehnius dependence on the wafer temperature, as discussed in section The design of experiments of the silicon dioxide etching in the remote fluorocarbon plasma is discussed in section to pursue the optimal operation condition The Dependence of Etching Rate on Oxygen Percentage For the fluorocarbon plasma, O 2 is critical since it helps to take away the carbon and drives the chemical reaction toward the production of fluorine atoms. The dependence of the etching rate on the oxygen percentage for fluorocarbon gases, with C 4 F 8 as an example, is discussed in this section. Figure 4.10 shows the dependence of the etching rate of oxide film on the percentage of O 2 in the mixture. The O 2 percentage represents the ratio between the oxygen flow rate and 189

190 the total flow rate of C 4 F 8 and O sccm N 2 was always added in the gas mixture to enhance the etching rate, as discussed in section 4.2. The O 2 percentage was varied by changing the flow rate of O 2, and the Ar flow rate was varied to maintain the same total flow rate of 4 slm. The total flow rate is kept constant to fix the residence of the gas mixture such that the results at different O 2 percentage are more comparable. The TEOS silicon dioxide samples were maintained at 100 C with no shower head between the plasma source and the process chamber and the pressure in the process chamber was maintained at 2 Torr by adjusting the valve between the process chamber and the pump. Figure 4.10 also shows the etching rate of the oxide with the remote NF 3 plasma, with the gas flow rate adjusted such that the total elemental fluorine flow rate is the same as that of C 4 F 8 mixture. For example, to compare the etching rate of 250 sccm of C 4 F 8, the NF 3 flow rate was set to 667 sccm to guarantee an equivalent 2000 sccm flow rate of the elemental fluorine, as shown in Figure 4.10(a). Similarly, the 500 sccm of C 4 F 8 plasma was compared to the 1333 sccm NF 3 plasma in Figure 4.10(b). 15 slm nitrogen was added between the process chamber and the pump to purge the wet pump used in these experiments. As a reference, the etching rates of silicon dioxide in the NF 3 +Ar plasma at 2 Torr are shown in both Figure 4.10(a) and Figure 4.10(b), as the standard gases used for the remote chamber cleaning. Given the sample temperature of 100 C, these results are comparable to those reported in the literature for the silicon dioxide

191 Etching Rate (A/min) (a) sccm C4F8+O2+Ar gas Mixture at 2 Torr 667sccm NF3+Ar Plasmas gas Mixture at 2 Torr 75% 77.5% 80% 82.5% 85% 87.5% 90% O2 Percentage in O2/(C4F8+O2) Etching Rate (A/min) (b) 500sccm C 4 F 8 +O 2 +Ar gas mixture at 2 Torr 1333sccm NF 3 +Ar gas mixture at 2 Torr 70% 72.5% 75% 77.5% 80% 82.5% 85% O2 Percentage in O2/(C4F8+O2) Figure 4.10 Plot of etch rate of TEOS silicon dioxide at 100 o C as a function of O 2 percentage in C 4 F 8 and O 2 mixture. The solid line indicates the etching rate with NF 3 with the same elemental fluorine flow and pressure (2 Torr). (a) 250 sccm C 4 F 8 vs. 667 sccm NF 3. (b) 500 sccm C 4 F 8 vs. 1333sccm NF

192 C4F8 CO CO2 C2F6 C3F8 CF4 COF2 Concentration (PPM) COF CO2 0 70% 75% 80% 85% 90% 95% O2 Percentage Figure 4.11 FTIR Measurements of O2 Effect in C 4 F 8 +O 2 +Ar Plasma Figure 4.10(a) shows that the oxide etching rate doubles when the O 2 percentage increases from 72.5% to 87.5%., and no etching was observed for the O 2 percentage to be below 65%. Figure 4.10(a) shows that the etching drops after the O 2 percentage is more than 87.5%. Therefore, 87.5% is the optimal O 2 percentage under these conditions. Figure 4.10(a) and Figure 4.10(b) also shows that comparable etching rates can be achieved between the C 4 F 8 mixtures with optimized O 2 percentage and the NF 3 at 2torr with the same residence time and the same elemental fluorine flow rates. Shown in Figure 4.11 are the measured gas concentrations in the exhaust line of the pump when the O 2 percentage changes. As the O 2 percentage changes from 70% to 90%, the CO 2 level increases while the COF 2 decreases to maintain the carbon mass balance. Below 65% O 2 percentage, the CF 4 begins to appear in the exhaust gas. 192

193 The dependence of the etching rate on the O 2 percentage can be explained by the change of COF 2 and CO 2 when the O 2 percentage changes. A simple global chemical reaction can help to explain the observed phenomenon. C F + O C F + O + CO + CO + COF + F + F, (4.3) x y in which CxF y includes the un-dissociated C 4 F 8 and other produced fluorocarbons like CF 4 and C 2 F 6. As seen in Figure 4.7, there are negligible emissions of CxF y and CO when the O 2 percentage is in the range of 70% to 95%. By only considering the species that can be detected by the FTIR, the above equation can be simplified as CF O2 CO2 + COF2 + F, (4.4) in which all the fluorine is in the form of F atoms if not in the COF 2. Another possible reaction is COF2 CO 2+F, (4.5) in which COF 2 either reacts with O 2 or dissociates to release the fluorine atom and CO 2. All C 4 F 8 gases are dissociated in the plasma to form CO 2, COF 2 and F as shown in equation (4.4). When the O 2 percentage increases toward 87.5%, the abundance of the oxygen atoms favors the formation of CO 2 through reaction (4.5), hence reduces the formation of COF 2 or CF 4, and allows more fluorine atoms to etch the downstream oxide film. When the O 2 percentage is below 70%, the abundance of the fluorine atoms tends to help the formation of COF 2 and CF 4, and hence decreases the atomic fluorine concentration. 193

194 sccm C4F8 500sccm C3F8 1333sccm NF3 667sccm C2F sccm NF 3 Etching Rate (A/Min) sccm C 2 F 6 500sccm C 4 F sccm C 3 F % 40% 50% 60% 70% 80% 90% 100% Oxygen Percentage (O 2 /(C x F y +O 2 )) Figure 4.12 Dependence of etching rates as a function of oxygen percentage for fluorocarbon gases and their comparison to that of NF 3 at the same total flow rate of elemental fluorine flow rate at 4000sccm. 100 sccm N 2 was added and the flow rate of argon is adjusted for oxygen percentage. The fluorocarbon gases C 2 F 6, C 3 F 8 and C 4 F 8 can achieve comparable etching rates as NF 3. Figure 4.12 shows the comparison of etching rate between NF 3 and these three fluorocarbon gases under the condition of the same total elemental fluorine and the same total gas flow rate. The C 2 F 6 and C 3 F 8 were found to have similar dependences on the oxygen percentage as C 4 F 8, due to the conversion of COF 2 to CO 2. In conclusion, at the conditions of the same pressure, the same total elemental fluorine and the same total gas flow rate, fluorocarbon gases C 2 F 6, C 3 F 8 and C 4 F 8 were found to have comparable etching rate as NF 3 at the optimized O 2 percentage, (up to 1.3 slm NF 3 ). Dependence of the etching rate on the O 2 percentage was believed to be associated with the conversion from COF 2 to CO 2 which releases more atomic fluorine for etching. 194

195 4.4.2 Dependence of the Oxide Etching Rate on the Plasma Power The dependence of the oxide etching rate on the plasma power can be studied by changing the current set point of the plasma source. Figure 4.13 shows the dependence of the power on the value of the current set point. The current set point has an arbitrary unit but is proportional to the current in the plasma. Three gas mixtures were tested. The 333sccm C 2 F 6 mixture represents 333 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.7 torr. The 667 sccm C 2 F 6 mixture represents 667 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.9 torr. The 1000 sccm C 2 F 6 mixture represents 1000 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 3.6 torr. The oxygen to C 2 F 6 ratios in these mixtures are all kept close to 3:1 and the plasma source pressure are so chosen to keep the downstream process chamber at a constant pressure of 2 torr, as the reason will become obvious later. For these gas mixtures, the plasma power is found to be linearly dependent on the plasma current instead of the square of the current. This is because the plasma resistivity is inversely proportional to the current, as discussed in section 3.7. The plasma power changes with the plasma source pressure and the gas component at each current set point because of the change of the plasma resistivity. The 1000 sccm C 2 F 6 mixture has more molecular gases than other two mixtures, which tends to increase the resistivity of the plasma and hence increase the power consumption under the same current. The pressure of the 1000 sccm C 2 F 6 is also higher than that of the 667 and 333 sccm C 2 F 6 mixtures, which increases the resistivity and then power consumption. 195

196 10 333sccm C2F6 667sccm C2F6 1000sccm C2F6 9 8 Power (kw) Current Set Point Figure 4.13 Dependence of the plasma power on the current set point for three gas mixtures. The 333sccm C 2 F 6 mixture represents 333 sccm C 2 F sccm O sccm Ar at plasma source pressure of 2.7 torr. The 667 sccm C 2 F 6 mixture represents 667 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 2.9 torr. The 1000 sccm C 2 F 6 mixture represents 1000 sccm C 2 F sccm O sccm Ar at the plasma source pressure of 3.6 torr. Figure 4.14 shows the dependence of the etching rate on the the plasma power for the three gas mixtures described above. With the same process chamber pressure and the same sample temperature, the etching rate is only proportional to the fluorine atom production rate from the plasma source. For both the 333 sccm and the 667 sccm C 2 F 6 mixtures, the etching rates saturates with increasing power, while for the 1000 sccm C 2 F 6 mixtures, the etching rate stays in the linear increasing regime for all the power tested. The saturation regime of etching rate is corresponding to the maximum F atom production, which may suggest the complete dissociations for the 333 and 667 sccm C 2 F 6 mixture at the high power. The F atom production is not maximized for the 1000 sccm mixture, meaning that the fluorocarbon gases are not completely dissociated. Further discussion between the etching rate and the plasma neutral gas temperature is included in section

197 In conclusion, the etching rate of the oxide film is found to increase with the plasma power when the dissociation is incomplete. The etching rate saturates with power input after the complete dissociation of the gas mixture sccm C2F6 667sccm C2F6 1000sccm C2F Etching Rate (A/min) Plasma Power (kw) Figure 4.14 Dependence of etching rate on the plasma power for a given gas mixture for three gas mixtures. The sample temperature was controlled at 100 o C and the process chamber pressure was 2 torr Dependence of Oxide Etching Rate on the Wafer Temperature The etching rate of the silicon dioxide has Arrhenius type of dependence on the sample temperature, as shown in equation 1.1. The dependence of the oxide etching rate on the sample temperature measured in our experiments is shown in Figure The remote plasma was composed of 333 sccm C 2 F 6, 667 sccm O 2 and 2000 sccm Ar. The process chamber pressure was 2 torr. Results of both the thermal grown oxide and the TEOS deposited oxide are shown. A good linear dependence between the logarithm of the etching rate and the inverse of the sample temperature is observed for both the thermal oxide and the TEOS oxide. For the same plasma source condition and the same wafer temperature, 197

198 the etching rate of the TEOS oxide is higher than that of the thermal oxide, due to the lower density of the deposited film compared to the thermal grown film Ln(Etching Rate) (ln(a/min)) Thermal Oxide TEOS Oxide Linear (Thermal Oxide) Linear (TEOS Oxide) /Tw (1/K) Figure 4.15 Dependence of log(etching rate) on inverse temperature. Results for both thermal oxide and TEOS oxide are shown. The remote plasma source is composed of 333 sccm C 2 F 6, 667 sccm O 2 and 2000 sccm Ar. The process chamber pressure is 2 torr. For both thermal oxide and TEOS oxide, the activation energy is fitted to be 0.13 ev. For both the TEOS oxide and the thermal oxide, the activation energy was found to be 0.13 ev. The result is a little different from the literature 8,27, perhaps due to a systematic error of the sample temperature measurement. The temperature is measured at the back of the electrode, which may be lower than the temperature on the sample surface, especially for the experiments without any thermal grease between the sample and the electrode. As a matter of fact, increasing the measured sample temperature by 30 o C leads to the same activation energy as reported in the literature 8,27. In summary, the Arrhenius temperature dependence of the oxide film etching rate was observed in the experiments, with calculated activation energy similar to that reported in the literature. 198

199 4.4.4 Design of Experiments for oxide etching in remote plasma source In order to find the optimal operation condition for any given flow rate of fluorocarbon gases such as C 4 F 8, the dependences of the oxide etching rate on four operation parameters are studied by a design of experiments. The four parameters are: the total flow rate, the O 2 percentage in the C 4 F 8 + O 2 mixture, the process chamber pressure and the plasma source outlet pressure. A half-factorial design of experiments was carried out in the parameter space. The etching rates on a 4 thermal oxide wafer at 200 o C wafer temperature were measured with the selected operation parameters. The same flow rate of C 4 F 8 (250 sccm) was used and 100 sccm N 2 was added in the gas mixtures for etching rate enhancement. The COF 2 and CO 2 concentrations were monitored by the FTIR and were used to calculate the fluorine conversion efficiency 8 nc F 2 n Conversion_Efficiency = 8 n C F 4 8 COF, (4.6) in which n C4F represents the measured C 8 4 F 8 concentration in the exhaust when no plasma is turned on, and n COF represents the measured COF 2 2 concentration during the plasma operation. According to equation (4.4) where the C 4 F 8 gas is reacted to form either COF 2 or F, the conversion efficiency can be used as a rough estimation of the free amount of fluorine atoms that pass through the vacuum system. The dependence of the plasma power on the four parameters as shown in Figure 4.16 can be explained in the corresponding change of the plasma resistivity. The plasma power does not depend on the process chamber pressure, as shown in Figure 4.16(a). Higher plasma source pressure increases the plasma power due to the increase of the plasma resisitivity. The resistivity of the plasma increases when increasing the O 2 percentage by 199

200 replacing Ar with O 2, causing the plasma power consumption to increase, as shown in Figure 4.16(b). Higher flow rate at the same C 4 F 8 flow rate and O 2 percentage was achieved by increasing the flow rate of Ar. When the flow rate of Ar increases, the electron density increases in the plasma, causing the resistivity and the power consumption to decrease. The fluorine conversion efficiency was found to be a strong function of the oxygen percentage, the total flow rate and the plasma source pressure, while nearly independent of the process chamber pressure, as shown in Figure The polynomial fitting of the dependence of the fluorine conversion efficiency on these parameters is shown in: 2 Effiency = FO FO PC, (4.7) + P + P P + FL C S S tot in which F O is the oxygen percentage in C 4 F 8 +O 2 mixture, FL tot is the total flow rate in unit of sccm, P C is the process chamber pressure in unit of torr and P S is the plasma source pressure in unit of torr. 200

201 8500 Plasma Power (W) (a) 4 Plasma Source Pressure (torr) Process Chamber Pressure (torr) Plasma Power (W) (b) Total Flow Rate (sccm) Oxygen Percentage Figure 4.16 The dependence of the plasma power on the plasma source pressure (a), the process chamber pressure (a), the total flow rate (b) and the oxygen percentage (b). 250 sccm C 4 F 8 was in the gas mixture. 100 sccm N 2 was present to enhance all etching rate. 201

202 0.69 Fluorine Conversion Efficiency (a) 4 Plasma Source Pressure (torr) Process Chamber Pressure (torr) Fluorine conversion Efficiency (b) Total Flow Rate(sccm) Oxygen Percentage Figure 4.17 The dependence of the fluorine conversion efficiency on the plasma source pressure (a), the process chamber pressure (a), the total flow rate (b) and the oxygen percentage (b). 202

203 For any specified total flow rate, equation (4.7) and Figure 4.17 show that the fluorine conversion efficiency maximizes at the condition of 92% oxygen percentage and 2.3 torr plasma source pressure. For the fixed O 2 percentage at 88% and the total flow rate of 4000sccm, the fluorine conversion efficiency as a function of the process chamber and the plasma source pressure is shown in Figure 4.17 (a). As would be expected, the fluorine conversion is a very weak function of the process chamber pressure. The existence of an optimal plasma source pressure may be caused the competition of the decrease of the residence time and the increase of the electron density with higher pressure. The gas residence time in the plasma source decreases with higher plasma source pressure. Shorter residence time is in favor of the fluorine release from the molecules since the molecules have a shorter time in the source to be dissociated by the electrons. On the other hand, the electron density increases with higher plasma source pressure. Higher electron density increases the collisional dissociation rate and hence decreases the fluorine conversion efficiency. Figure 4.18 shows the dependence of the etching rate on the four parameters. Figure 4.18(a) shows that the etching rate is a strong function of the process chamber pressure. As shown in equation 1.1, the etching rate is linearly proportional to the fluorine density, or the fluorine partial pressure. Increasing the process chamber pressure increases the partial pressure of fluorine and hence increases the etching rate of the silicon dioxide film. Except for the process chamber pressure, other dependences of the etching rate are found to match that of the fluorine conversion coefficient, which is another strong indication that the etching rate dependence is related to the fluorine conversion coefficient, or inversely to the COF 2 formation. 203

204 Etching Rate (A/min) (a) Plasma Source Pressure (torr) Process Chamber Pressure (torr) Etching Rate (A/min) (b) Total Flow Rate (sccm) Oxygen Percentage Figure 4.18 The dependence of the etching rate on the plasma source pressure (a), the process chamber pressure (a), the total flow rate (b) and the oxygen percentage (b). In conclusion, The following silicon dioxide etching rate dependences on the operational parameters using the remote fluorocarbon plasma were found: 1) that the dependence of the etching rate on the plasma operation parameters is related to COF 2 204

205 formation, 2) the etching rate increases with the power when the dissociation is not complete, and 3) the etching rate has an Arrehnius dependence on the wafer temperature. 4.5 Saturation of the Etching Rate of Silicon Dioxide This section discusses the saturation of the etching rate of the silicon dioxide with the partial pressure of fluorine atoms. At high F atoms concentrations, the saturation of the etching rate was observed and characterized as a function of temperature and partial pressure of atomic fluorine. This was the first time in which such a saturation was observed for the oxide etching in atomic fluorine. Nitrogen trifluoride is almost completely dissociated in the high power density plasma source. Figure 4.19 shows the dependence of the NF 3 dissociation percentage on the flow rate. The dissociation percentage was measured by FTIR and the atomic fluorine concentration in the plasma source was measured by the actinometry method. The gas mixture was composed of 2000 sccm Ar and different amount of NF 3. At 2000 sccm NF 3 flow rate, the dissociation percentage was found to be as high as 99%. The mass spectrometer results in Figure 2.10 also shows that very little amount of NF + 2 was detected, consistent with the near complete dissociation of NF 3 observed by FTIR. Notice that the plasma power increased with NF 3 flow rate. The plasma power was 2.9 kw, 3.5 kw, 4.0 kw, 4.8 kw, 5.5 kw and 6.0 kw for the 333 sccm, 667 sccm, 1000 sccm, 2000 sccm, 3000 sccm and 4000 sccm NF 3 plasma, respectively. 205

206 100% 99% NF 3 Dissociation Percentage 98% 97% 96% 95% 94% 93% NF 3 Flow Rate (sccm) Figure 4.19 Dependence of NF 3 dissociation percentage on the flow rate of NF 3. The dissociation percentage was measured by FTIR. The plasma was composed of 2000 sccm Ar and different amount of NF3 flow rate. The plasma power was 2.9kW, 3.5kW, 4.0kW, 4.8kW, 5.5kW and 6.0kW for 333sccm, 667sccm, 1000sccm, 2000sccm, 3000sccm and 4000sccm NF 3, respectively. Figure 4.20 shows the dependence of the etching rate of silicon oxide on the flow rate of NF 3 at four different wafer temperatures: 50 o C, 100 o C, 150 o C and 200 o C. The process chamber pressure was kept at 2 torr. The plasma was composed of 2000 sccm Ar and different flow rates of NF 3. The plasma powers for these conditions were the same as in Figure For the same downstream chamber pressure and the same butterfly valve positions between the heat exchanger and the process chamber, higher gas flow rate causes higher plasma source pressure. The source pressures were 2.22 torr, 2.36 torr, 2.47 torr, 2.89 torr, 3.37 torr and 3.93 torr for the 333 sccm, 667 sccm, 1000 sccm, 2000 sccm, 3000 sccm and 4000 sccm NF 3 plasma, respectively. Below 2000 sccm NF 3 flow rate, the etching rate increases almost linearly with the gas flow rate. Above 2000sccm flow rate, the etching rate keeps nearly constant for the 50 o C, 100 o C and 150 o C wafer temperature, while keeps increasing for the wafer temperature of 200 o C. 206

207 Two regimes were observed in the dependence of the etching rate of oxide on the total flow rate of NF 3 : 1) the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus partial pressure of F atoms), and 2) the saturation regime in which the etching rate was independent of the atomic fluorine partial pressure. In the saturation regime the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. In the linear regime, the etching rate is primarily limited by the extent of surface F adsorption. Assuming that the extent of F adsorption is in equilibrium with the partial pressure of F in the gas, the etching rate is proportional to the partial pressure of F in the gas phase Etching Rate (A/min) C 50 C 150 C 200 C NF3 Flow Rate (sccm) Figure 4.20 Dependence of etching rate of oxide on the flow rate of nitrogen trifluoride. The process chamber pressure is kept at 2 torr. The plasma was composed of 2000 sccm Ar and different amount of NF3 flow rate. The plasma powers were 2.9kW, 3.5kW, 4.0kW, 4.8kW, 5.5kW and 6.0kW for 333sccm, 667sccm, 1000sccm, 2000sccm, 3000sccm and 4000sccm NF 3, respectively. The source pressures were 2.22 torr, 2.36torr, 2.47torr, 2.89torr, 3.37torr and 3.93 torr for 333sccm, 667sccm, 1000sccm, 2000sccm, 3000sccm and 4000sccm NF 3, respectively. Figure 4.20 shows that there exists a maximum etching rate for each wafer temperature and a threshold atomic fluorine partial pressure between the linear regime and the saturation regime. Using the molar fraction of fluorine atoms as predicted in the NF 3 +Ar 207

208 plasma model described in section and ignoring the recombination in the transfer tube, the threshold atomic fluorine partial pressure is shown to be 1.2 torr. The maximum etching rates and the thresholds for the four temperatures are shown in Table 4.2. Wafer Temperature Table 4.2 Saturation Threshold for silicon dioxide etching Maximum Etching Rate Threshold Fluorine Partial Pressure o C A/min torr >6100 N.A. Etching Rate (A/min) C Etching Rate 200 C Etching Rate F Molar Fraction Fluorine Molar Fraction NF3 Flow Rate (sccm) Figure 4.21 Dependence of etching rate at 50 o C and 200 o C and molar fraction of fluorine as predicted by the model on NF 3 gas flow rate. The saturation of the etching rate is caused not by the changes in the plasma source, but by the limitation of the surface chemical reaction when the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction 208

209 of the adsorbed F with the surface. Two important steps determine the etching of silicon dioxide by fluorine atoms. First, the fluorine atoms are adsorb on the surface F(g) F(ads), (4.8) with the rate related to the partial pressure of the atomic fluorine in the process chamber. And second, the adsorbed fluorine interacts with the substrate to form volatile species as shown in the equation 4F(ads) + SiO 2(solid) SiF 4(g) + O 2(g). (4.9) For the etching of silicon dioxide in the process chamber with a fixed chamber pressure, the etching rate is proportional to the molar fraction of the fluorine atoms in the mixtures. Figure 4.21 shows the dependences of the etching rate at 50 o C and 200 o C and the molar fraction of fluorine on NF 3 gas flow rate. The molar fraction of fluorine was predicted by the global plasma model discussed in section For the dioxide etching at 200 o C, the increase of the etching rate matches the increase of the molar fraction, represents the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus partial pressure of F atoms). Therefore, in the linear regime, the surface adsorption is the ratelimiting step and the etching rate is linearly dependent on partial pressure of fluorine and the temperature dependence of the etching rate ( ER ) can be expressed as the the Arrenhius expression shown in the equation ( Ereaction Edesorption ) RT 1 ER PF e, (4.10) T where P F is the partial pressure of fluorine, R is the universal gas constant, and E reaction, E adsorption are the threshold energies for the surface reaction equation (4.9) and the adsorption reaction equation (4.8), respectively. The saturation of etching rate at lower 209

210 sample temperature can not be because of the saturated production of fluorine atoms in the plasma source. Instead, at lower sample temperature such as 50 o C, the etching rate saturates after the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. In the saturation regime, the surface reaction is the rate-limiting step and the etching rate is independent of the partial pressure of fluorine as shown in the equation E reaction RT e ER. (4.11) 6000 Etching Rate (A/min) C 150 C Pressure of Downstream Chamber (Torr) Figure 4.22 Dependence of Etching rate of oxide on the downstream process chamber pressure. The plasma is composed of 2000 sccm Ar and 2000 sccm NF 3. The plasma source pressure is fixed at 4 torr. The plasma power consumption is 4.7kW. The saturation of the etching rate is better demonstrated by the dependence of the etching rate on the downstream processing chamber pressure with fixed source pressure, as shown in Figure With the fixed pressure in the source and the transfer tube, the same amount of fluorine atoms are guaranteed to be transferred to the process chamber. Changing the process chamber pressure changes the partial pressure of fluorine and the 210

211 saturation of the etching rate with the increase of the fluorine atom partial pressure is clearly demonstrated in the figure. In summary, Two regimes were observed in the dependence of the etching rate of oxide on the total flow rate of NF 3 : 1) the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus partial pressure of F atoms), and 2) the saturation regime in which the etching rate was independent of the atomic fluorine partial pressure. In the saturation regime the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. In the linear regime, the etching rate is primarily limited by the extent of surface F adsorption. Assuming that the extent of F adsorption is in equilibrium with the partial pressure of F in the gas, the etching rate is proportional to the partial pressure of F in the gas phase. 4.6 Effects of gas impurities on the etching rates of silicon dioxide film and silicon nitride film This section discusses the different effects of the additive gases on the etching rates of the silicon dioxide film and the silicon nitride film which were found to be very different. It is commonly believed that the gas impurities affect the etching rate of the downstream film, which drives the industry to pursue high gas purities; e.g., up to %. The use of lower gas purities could reduce the cost of the gas production. Section concludes that the impurities in the NF 3 gases increase the power consumption and the neutral gas temperature of the plasmas, but not to change the etching rate of the silicon dioxide. However, section finds that the addition of O 2 in NF 3 /Ar and the addition of N 2 in C 2 F 6 /O 2 /Ar or SF 6 /O 2 /Ar dramatically increase the etching rate of the silicon nitride. The 211

212 enhancement may be caused some other mechanisms other than NO assistance 48-50, , which requires further investigation Effects of Impurities in NF 3 on the Etching Rate of Oxide The NF 3 gas usually has small amount of impurities such as N 2, O 2 and CF 4, which are formed during the fabrication process. Understanding the effects of these impurities on the NF 3 gases helps deciding the necessary facility to construct and to purify NF 3 gases. In this study, % high purity NF 3 gas (DuPont Zyron N5) with the addition of different amount of impurity gases was used to test the effects of the impurities on the etching rate of oxide. Figure 4.23 and Figure 4.24 shows the effects of the addition of oxygen on the plasma power, the neutral gas temperature and the etching rate of oxide film. The O 2 addition was found to increase the power consumption based on the constant current setting of the plasma source. The plasma power increased from 3.4 kw when no O 2 was added to 4.5kW when 900 sccm O 2 was added. The neutral gas was heated by higher power consumption, and the neutral temperature increased from 3400K to 4400K. However, the etching rate did not change with the added O 2 gases, as shown in Figure 4.24, since the NF 3 is almost completely dissociated in the plasma and the addition of O 2 can not further increase the dissociation of NF 3. Similar trends of the plasma power, the neutral gas temperature and the etching rate were observed with the addition of impurities such as N 2 and CF

213 Neutral Gas Temperature (K) Neutral Temperature Etching Rate Plasma Power (kw) Added O 2 Flow Rate Figure 4.23 Dependence of plasma power and neutral gas temperature on the flow rate of O2 addition into a plasma composed of 1333sccm NF 3 and 2667 sccm Ar. O2 addition was found to increase the power consumption based on constant current and hence heated up the neutral gases to a higher gas temperature Etching Rate (A/min) Added O2 Flow Rate Figure 4.24 Dependence of etching rate on the flow rate of O 2 addition into a plasma composed of 1333sccm NF 3 and 2667 sccm Ar. N 2 addition did not significantly change the etching rate of the oxide. The wafer temperature was 100 o C 213

214 4.6.2 Effects of Impurities on the Etching Rate of Silicon Nitride Silicon nitride is used in both the integrated circuit and the flat panel display. The etching of the silicon nitride was found quite different from the silicon or silicon dioxide etching, due to the existence of nitrogen in its structure 48,162,163,168. It is general believed that the nitrogen atoms in the film can be attacked by NO formed in the plasma in an environment where the silicon atoms are removed by fluorine atoms and causing the etching rate of silicon nitride to be much higher with the NO assistance 164,174, Etching Rate (A/min) NF3 + Ar C2F6 + O2 + Ar Figure 4.25 Comparison of Si 3 N 4 film etching rate with NF 3 and C 2 F 6. The sample temperature was 50C. NF 3 + Ar Plasma: 1333 sccm sccm. C 2 F 6 +O 2 +Ar Plasma: sccm. The etching rates of silicon nitride in the NF 3 /Ar and C 2 F 6 /O 2 /Ar plasmas are were first measured as the case to be compared when other impurities were added. Figure 4.25 shows the comparison of the etching rate of silicon nitride between the NF 3 plasma and the fluorocarbon plasma. The sample temperature was 50 o C. The NF 3 mixture was composed of 1333 sccm NF 3 and 2667 sccm Ar. The C 2 F 6 mixture was composed of 667 sccm C 2 F sccm O sccm Ar. Notice that these two gas mixture had the same total flow rate and the same effective elemental fluorine flow rate. The etching rate in the NF 3 +Ar 214

215 mixture was around to be 500 A/min, much higher than the 100 A/min etching rate found in the C 2 F 6 +O 2 +Ar mixtures. The O 2 impurities in the NF 3 plasma dramatically increase the etching rate of silicon nitride. Figure 4.26 shows the effect of the oxygen addition on the silicon nitride etching rate using the NF 3 +Ar gas mixture. In this experiment, the feeding gas was composed of 1333 sccm NF 3, 2667 sccm Ar and optionally O 2. When no O 2 was added, the etching rate of silicon nitride with the temperature controlled at 50 o C was only 500 Å/min. When 100 sccm O 2 was added in the feeding gas mixture, the etching rate of silicon nitride was increased from 500 to 1650 Å/min. If 200 sccm O 2 was added in the feeding gas mixture, the etching rate was further increased to 2000 Å/min. This clear demonstrates that addition of O 2 in NF 3 /Ar plasma can increase the etching rate of silicon nitride by 3 times. The impurities like O 2 in NF 3 hence have dramatic effects on silicon nitride etching Si3N4 Etching Rate (A/min) Added O2 Flow Rate (sccm) Figure 4.26 Dependence of silicon nitride etching on added O 2 flow rate in NF 3 /Ar plasma. The feeding gas mixture was composed of 1333 sccm NF 3 and 2667 sccm Ar. The wafer temperature was 50 C. The process chamber pressure was 2 torr. 215

216 The impurities of nitrogen-containing gas in the C 2 F 6 /O 2 /Ar mixture also dramatically increases the etching rate of silicon nitride. Figure 4.27 shows the comparison of the etching rate of nitride with three gas mixtures: C 2 F 6 /O 2 /Ar, C 2 F 6 /O 2 /Ar/N 2 and C 2 F 6 /O 2 /Ar/NF 3. The three gas mixtures all contained 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar. In the C 2 F 6 /O 2 /Ar/N 2 and C 2 F 6 /O 2 /Ar/NF 3 mixtures, 100 sccm N 2 and 300 sccm NF 3 were added respectively. Adding 100 sccm N 2 in the plasma was found to increase the etching rate of silicon nitride by more than 10 times. The addition of NF 3 was found to increase the etching rate even further, probably due to the increase of elemental fluorine into the system Etching Rate (A/min) C2F6 + O2 + Ar C2F6+O2+Ar+N2 C2F6+O2+Ar+NF3 Figure 4.27 Effect of nitrogen-containing gas addition on silicon nitride etching with remote C 2 F 6 /Ar/O 2 plasma, which was composed of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar. 100 sccm N 2 or 300 sccm NF 3 were added. The wafer temperature was controlled at 50 o C. The process chamber pressure was 2 torr. Similar enhancement of silicon nitride etching rate was found with nitrogen containing gas impurity in SF 6 /O 2 /Ar plasma, as shown in Figure In this experiment, the feeding gas composed of 667 sccm O 2, 667 sccm SF 6, 2000 sccm Ar and optionally N 2 or NF 3. Chamber pressure was 2 torr. Without nitrogen-containing gas addition, the etching rate 216

217 was only 190 Å/min for the silicon nitride sample with temperature controlled at 50 o C. When 100 sccm N 2 was added in the feeding gas mixture, the etching rate of silicon nitride increased from 190 to 2470 Å/min. If instead 300 sccm NF 3 was added in the feeding gas mixture, the etching rate was increased to 2980 Å/min Etching Rate (A/min) SF6+O2+Ar SF6+O2+Ar+N2 SF6+O2+Ar+NF3 Figure 4.28 Comparison of etching rates on Silicon nitride surface deposit with N 2 or NF 3 addition in SF 6 +O 2 +Ar feeding gas mixture the feeding gas composed of O 2, SF 6, Ar and optionally N 2 or NF 3 wherein O 2 flow rate was 667 sccm, Ar flow rate was 2000 sccm, SF 6 flow rate was 667 sccm. Chamber pressure was 2 torr. The sample temperature was controlled at 50 o C The observed enhancement of the etching rate of silicon nitride may be caused by some other reasons rather than the assistance of NO, as other researchers proposed 164,167, Figure 4.29 shows the time series of exhaust gas concentration during the experiments of N 2 addition in C 2 F 6 /O 2 /Ar gas mixture. The C 2 F 6 /O 2 /Ar gas mixture was composed of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar. A ½µ½ silicon nitride sample was used with temperature controlled at 50 o C. The process chamber pressure was 2 torr. When 100 sccm N 2 and 1333 sccm O 2 were added in the 2000 sccm Ar plasma, a significant amount of NO was observed and no etching rate of the silicon nitride was observed. No other nitrogen containing species such as NO 2 or N 2 O were observed. When 667 sccm C 2 F 6 was added in, CO 2 and COF 2 appeared, as would be expected. However, the NO concentration drops to 217

218 almost zero. At the same time when NO disappeared, high etching rate of silicon nitride was observed. The concurrence of the high etching rate of silicon nitride and the low concentration of NO suggests that the enhanced etching rate as observed in Figure Figure 4.28 may be caused by some other reason rather than the assistance of NO. The exact reason for this enhancement is unclear and further studies are necessary Exhaust Concentration (ppm) CO2 (ppm) COF2 (ppm) NO (ppm) 1333sccm O sccm N2 No etching of Si3N4 Add in 667 sccm C2F6 Etching Rate Si3N4 = 1770 A/min CO2 COF2 Turn off C2F NO NO 0 15:44 15:45 15:46 15:46 15:47 15:48 15:48 15:49 15:50 15:51 Time(hr:min) Figure 4.29 Time series of exhaust gas concentration during the experiments of N 2 addition in C 2 F 6 /O 2 /Ar gas mixture. The C 2 F 6 /O 2 /Ar gas was composed of 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar. 100 sccm N 2 was added before C 2 F 6 addition. The wafer temperature was 50 o C. The process chamber pressure was 2 torr. In conclusion, different effects of the additive gases on the etching rates of the silicon dioxide film and the silicon nitride film were found. The impurities in the NF 3 gases increase the power consumption and the neutral gas temperature of the plasmas, but not to change the etching rate of the silicon dioxide. However, the addition of O 2 in NF 3 /Ar and the addition of N 2 in C 2 F 6 /O 2 /Ar or SF 6 /O 2 /Ar dramatically increase the etching rate of the silicon nitride. The enhancement may be caused some other mechanisms other than NO assistance 48-50, , which requires further investigation. 218

219 4.7 Summary This chapter studied five topics related to plasma-surface interactions. For the first time, the formation of COF 2 was shown to cause the etching rate of oxide films in remote fluorocarbon plasmas to typically be one half of that in the nitrogen trifluoride plasma for the same atomic fluorine content of feed gas flow. Addition of 3% N 2 in perfluorocarbon, oxygen and Ar mixtures was found to increase the etching rate of SiO 2 and Si, to the point that the rates were equivalent to that of NF 3. The nitrogen surface treatment was shown to block the surface recombination to form COF 2 and favoring the formation of CO 2, thereby increasing the fraction of atomic fluorine available for processing. The surface mechanism for these phenomena is confirmed by the long system relaxation time to the lower etching rate state after N 2 flow has been terminated, approximately 5 orders of magnitude longer than the residence time of any gas within the system. The fluorocarbon gases were shown to be suitable in replacing the nitrogen trifluoride for the remote plasma cleaning of chambers by their comparable etching rates, the low global warming gas emissions and the clean surfaces after processing. The study of the dependence of the etching rate of the silicon dioxide on the operation parameters found that the dependence of the etching rate on the oxygen percentage is related to the COF 2 formation. It was also found that the etching rate of oxide film increases with the plasma power when the dissociation is incomplete, and the etching rate saturates with more power input after the complete dissociation. Finally, an Arrehnius dependence of the etching rate on the wafer temperature was observed. The saturation of the etching rate of the silicon dioxide with the partial pressure of fluorine atoms was observed for the first time. Two regimes were observed in the 219

220 dependence of the etching rate of oxide on the total flow rate of NF 3 : 1) the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus partial pressure of F atoms), and 2) the saturation regime in which the etching rate was independent of the atomic fluorine partial pressure. In the saturation regime the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. In the linear regime, the etching rate is primarily limited by the extent of surface F adsorption. Assuming that the extent of F adsorption is in equilibrium with the partial pressure of F in the gas, the etching rate is proportional to the partial pressure of F in the gas phase. The effects of the additive gases on the etching rates of the silicon dioxide film and the silicon nitride film which were found to be very different. The impurities in the NF 3 gases were typically found to increase the power consumption and the neutral gas temperature of the plasmas, but not to change the etching rate of the silicon dioxide. However, the addition of O 2 in NF 3 /Ar and the addition of N 2 in C 2 F 6 /O 2 /Ar or SF 6 /O 2 /Ar were found to dramatically increase the etching rate of the silicon nitride. The enhancement may be caused some other mechanisms other than NO assistance, which requires further investigation. 220

221 Chapter 5 Generic Framework of Surface Kinetics Modeling for Plasma-Surface Interactions 5.1 Introduction This chapter discusses the new generic framework we developed to model the plasma surface kinetics of both the etching and the deposition processes for any plasma-surface interactions. Seven essential assumptions for the model are discussed in section 5.2. The first assumption is that there exists a mixed layer on the top of the substrate as an intermediate layer between the plasma and the substrate, due to the ion bombardment which breaks the chemical bonds and causes the ion-induced mixing. The second assumption is to treat the vacancy generated by the ion bombardment in the mixed layer as a special species, which also has the creation and the annihilation process. The third assumption is that the mixed layer is sufficiently mixed that the species can be considered randomly mixed with the nearest bonding neighbor probability calculated by statistics. The fourth assumption is that the number concentration of any surface moiety in the mixed layer can be computed by the corresponding bonding neighbor probability. The fifth assumption is that the chemisorption rate is proportional to the concentration of the dangling bonds (or active sites), which can be computed as the nearest bonding neighbor probability between the vacancy species and the host atoms. The sixth assumption is that the model only includes the lumped reactions instead of the complete set of all possible reactions. The seventh assumption is that the total numbers of all species, including the atoms and the vacancy, are conserved in the translating mixed layer and that the deposition or etching rate is determined by the difference between the total atoms to and from the surface layer. When more material is 221

222 removed than deposited, the layer translates into the substrate, causing the etching to occur. When more material is added than removed, the layer deposits a layer between it and the substrate, causing the deposition to occur. Based on these assumptions, all the possible surface processes can be calculated and then be used for the calculation of etching yield or deposition yield, as shown in section 5.3. The proposed modeling framework includes the following mechanisms: the ion incorporation, the neutral absorption, the physical sputtering, the vacancy generation, the ion-induced reaction, the densification reaction, the dangling bond annihilation, the spontaneous reaction and the surface recombination. The case of silicon etching in chlorine atom beam and chlorine ion beam is used as an example to demonstrate the model construction in section 5.4. All the major etching characteristics can be explained using the generic modeling approach, as shown in section 5.5. The dependence of the etching yield on the neutral to ion flux ratio of the silicon etching in the Cl/Cl + beam experiment and the Cl/Ar + beam experiment were explained by the model. The unsaturated regime and the saturated regime were corresponding to absorption limiting and ion induced reaction limiting, respectively. The dependence of the etching yield on the ion bombardment energy during the silicon + etching in the Cl 2 /Cl 2 beam experiment was fitted to determine the parameters related to Cl 2. All the determined parameters were then used in the model to predict the etching yield of silicon in the chlorine plasma and a close match between the model prediction and the experimental results was found. Furthermore, the model of silicon etching in the bromine plasma was constructed as an example of the expansion of the framework to the situation with limited dataset. 222

223 For the first time, the angular dependence of the etching yield was explained in the model as a result of the competition between the angular dependence of the vacancy generation and the angular dependence of the ion induced reactions. The ion induced reaction may have a cosine type of angular dependence and the vacancy generation may have an angular dependence similar to that of the sputtering yield. The competition of these two changes may result in the observed angular dependence. This modeling approach has the advantage of being extremely fast in the development and the application while being accurately in capturing all the major etching behaviors. Furthermore, the kinetic coefficients determined by this model are readily converted into the probabilities needed for the dynamic Monte Carlo 3-D profile simulators. 5.2 Model Assumptions The major assumptions used in the model are discussed in this section. The first assumption is that there exists a mixed layer on top of the substrate as an intermediate layer between the plasma and the substrate. The assumption is based on both the experimental observation and the molecular dynamics modeling. The depth profile of the silicon substrate etched in a Cl 2 plasma was measured by angular resolved X-ray Photoelectron Spectroscopy, and the silicon and chlorine atoms were found well mixed in the top 1.2nm layer. 178,179 Experiments of silicon dioxide etching in fluorocarbon plasma showed a mixed layer of silicon, oxygen, carbon and fluorine atoms with the thickness around 2.5 nm on top of the substrate Molecular Dynamics modeling also showed a mixed layer of carbon, fluorine and silicon atoms on top of the silicon substrate when the silicon was etched in CF 2 and Ar + beams

224 The mixed layer is generated by continuous bombardments of ions, which are ionized in the plasma and accelerated by the plasma sheath. The mixed layer consists of silicon and chlorine atoms for the silicon etching in the chlorine plasma. Inert atoms such as argon are not considered as part of the mixed layer since they do not bond with other atoms in the mixed layer. They strike the surface providing the bombardment energy, and leave the surface without causing any other effects. The mixed layer is where all the surface kinetic interactions occur; no direct interaction between the plasma and the underlying substrate occurs. The substrate acts as the source or drain of atoms to or from the mixed layer, depending on whether etching or deposition dominates, respectively. The assumption that the surface layer is well mixed and isolated from the substrate other than by incorporation or rejection of matter makes the detailed knowledge of the layer thickness unnecessary. The layer theoretically could be of any thickness, as long as the ion mixing is sufficient to make the layer well mixed. Realistically, the mixed layer thickness is of the order the the ion bombardment implantation and atomic mixing depth. The second assumption is that the vacancy in the mixed layer can be treated as a species that possesses volume (but not mass) and can be used to calculate dangling bonds (active sites). Each vacancy represents an atomic site in the structure which is not occupied by an atom because of the ion bombardment. Therefore, the concentration of the vacancy accounts for the lower atomic density of the mixed layer in comparison to the substrate. The vacancy species enters the mass balance equation used to compute the etching or deposition rate. It can also be used to calculating the dangling bonds and then the 224

225 chemisorption rate. Furthermore, the vacancy concentration is also related to the density of the mixed layer Vacancies are generated by the ion bombardment and removed by the densification, the chemisorption and the dangling bond annihilation. When the energetic ions strike the surface, the chemical bonds in the mixed layer are broken to form orbitals with unpaired electrons, i.e. the dangling bonds. A vacancy is generated between the two atoms as a result of the bond breakage. If a vacancy is not generated after the bond breakage or is not present between two dangling bonds, the bond quickly forms. Atoms in the mixed layer account for part of the volume and the total mass of the mixed layer. The densification is an observed phenomenon where the density of the deposited film increases with low energy ion bombardment (<1 KeV) The density increase is related to the removal of vacancies; and therefore, the densification is modeled as the removal of the vacancy. The rate of the vacancy removal by densification is related to both the ion energy and the ion flux, and we adopt the same form as that of Bakai et al. 187 The removal of the vacancy due to the chemisorption and dangling bond annihilation is discussed in the fifth assumption of the section. The third assumption is that the mixed layer is sufficiently mixed that the species can be considered randomly mixed with the nearest bonding neighbor probability calculated by statistics. The nearest bonding neighbor probability is used to calculate the surface moiety concentrations for ion induced reactions and chemisorption. The validity of random mixing is attributed to the continual ion bombardment imparting energy into the mixed layer as well as the ion implantation and knock-on processes. In addition, the lower atomic density of the mixed layer allows greater mobility of atoms than in a densely packed film. 225

226 V V Cl Cl Cl Si Si V Si Cl Cl Cl Si Cl Cl Si Cl V V Si Cl Cl Figure 5.1 Diagram of the mixed translating layer. The layer has a depth corresponding to the ion implantation and knock-on length of about 2.5 nm. It is assumed that the layer is completely randomized by the ion bombardment. Vacanciess are included as V s. In the model, the number of bonding neighbors is actually determined by the number of bonds associated with the atomic species rather than a uniform number for all species as is conceptually shown in this diagram. Silicon is assumed to have 4 bonding neighbors, oxygen has 2 bonding neighbors, chlorine (or bromine) has 1 bonding neighbor and a vacancy has 1 bonding neighbor. The inclusion of vacancies allows the modeling of active sites for absorption of neutrals to bond on the dangling bond s neighboring atom. The concentration of chemical moieties is determined by the statistical probability of their being formed statistically assuming randomization of the bonding neighbors. Based on the moiety concentration, the ion induced etching rates are computed. It is assumed that the neighboring atoms are bonded in the mixed layer in which each atom has a given number of bonding neighbor sites, e.g., silicon atoms have four bonding neighbors, oxygen atoms have two bonding neighbors, and chlorine atoms have one bonding neighbor. The atomic density is assumed to be reduced by the inclusion of vacant atomic sites equal to the number of vacancies. Randomized mixing allows all species to be equally treated in the sense that each species can be bonded to any other species with an equal probability allowed by the maximum bonds of the species. Figure 5.1 shows an example of a randomized mixture of three species during silicon etching in chlorine plasma: 226

227 silicon atoms, chlorine atoms, and vacancies (marked as V). It is possible for a silicon atom to be neighbored by silicon atoms, chlorine atoms, or vacancies. It is also possible for two chlorine atoms to neighbor each other or even two dangling bonds to be next to each other. The probability for any two species to neighbor each others is the nearest neighbor bond pair probability. The general formula for nearest neighbor bond pair probability, J, i_ j is calculated in the following equation J = bx bx + bx i i j j i _ j N ( 1 δ, ) i j k k k = 1, (5.1) in which δ i, j is the Kronecker delta-function, x i is the fraction of the i th species in the mixed layer as normalized by the total number of atoms in the mixed layer, b i is the maximum number of bonding neighbors for the i th species, and N is the number of species present in the layer. Equation (5.1) was adapted from similar counting of bond pairs in both solid state physics and theory of the reactions in solutions. All x i of atomic species (including the vacancy species) sum to 1. Therefore, the normalized vacancy concentration is related to the effective atomic density of the mixed layer structure. b i is the maximum number of bonds allowed for the species, e.g. b i are 4, 2, 1 and 1 for silicon, oxygen, chlorine and vacancies, respectively. The fourth assumption is that the number concentration of any surface moiety in the mixed layer can be computed by the corresponding bonding neighbor probability. The ion induced reaction rates are proportional to corresponding surface moiety concentration. As discussed in the introduction section, one major drawback of most surface kinetics models is that many formation reactions of surface moieties must be included with unknown 227

228 reaction rates. This assumption provides a generic way to compute the surface moiety concentration and the subsequent reaction rates, leaving only the reaction rate coefficients for emission processes to be fitted by experiments. For example, concentration of SiCl 2 in the mixed layer equals ( ) 2 J and the ion induced reaction rate to form SiCl 2 product is Si Cl proportional to SiCl 2 concentration in the mixed layer, leaving the proportional parameter experimentally fitted. Similarly, the ion induced reaction rate to form Cl 2 product is proportional to J Cl Cl and the ion induced reaction rate to form COF 2 during silicon oxide etching in fluorocarbon plasma is proportional to J ( J ) 2 C O C F. Assumption four is justified by comparing its predicted concentrations of surface species to the measured ones by depth resolved XPS experiments. The number ratio of chlorine atoms to silicon atoms was measured to be 0.58 when silicon substrate was etched in a chlorine plasma at 240V DC bias. 178,179 The corresponding surface concentration of chlorine and silicon are: x cl = 0.37 and x Si = Using these atomic fractions with b = 1and b = 4 into equation (5.1) and ignoring the normalized vancancy concentration, Cl Si the nearest bond neighbor probability between silicon and chlorine atom is J _ = The assumption predicts that the ratio between SiCl, SiCl 2 and SiCl 3 surface species should be: 2 3 [ SiCl ] [ SiCl ] [ SiCl ] J J J : : = ( ):( ) :( ) = 1:0.32:0.1, (5.2) 2 3 Si _ Cl Si _ Cl Si _ Cl which agrees well with the measured ratio [SiCl]:[ SiCl 2 ]:[ SiCl 3 ] = 1:0.33:0.1 in their experiments. 178,179 This comparison demonstrates, at least for a first order approximation, that surface moiety concentration can be estimated assuming random atomic mixing. Si Cl 228

229 The fifth assumption is that the chemisorption rate is proportional to the concentration of dangling bonds (or active sites) which can be computed as the nearest bonding neighbor probability between the vacancy species and the host atoms. The proportionality constant (related to sticking coefficient) is fitted and is related to the dangling bond concentration in the mixed layer rather than the top layer of the surface as is the case for the monolayer sticking coefficients. The surface is assumed to be sufficiently open that all the dangling bonds in the mixed layer are accessible for sorption. In plasma processing, the major absorption mechanism for neutrals is chemisorption; however, absorption can occur through a physisorbed state which is not considered in this model. Active sites are the dangling bonds of the host atoms in the well mixed layer where incoming neutral atoms chemisorbs. Therefore, the chemisorption reaction is one mechanism for vacancy species removal. The impinging neutral may be physisorbed on or in the well mixed layer given the low atomic density of the surface layer. The kinetics of these precursor states is incorporated in the sticking coefficient. For example, the active site concentration on silicon in the mixed layer equals J Si -V, and the chemisorption of the incoming chlorine atoms is proportional to the active site concentration and incoming chlorine flux, where the sticking coefficient is fitted using the experimental data. The rate of vacancy loss is the sum of the densification, the dangling bond annihilation and the chemisorption. The assumption provides a simple way to calculate the chemisorption rate for preferred active sites for specific neutral radicals. It is not an issue for the silicon etching in chlorine plasma where actives sites are all silicon atoms. During silicon oxide or low-k material etching in fluorocarbon plasma, the incoming neutral radicals have preferred absorption 229

230 sites depending on which type of site is more energetically and kinetically favored. As observed by McFeely et al 188 and Butterbaugh et al, 39 fluorine atoms preferentially absorb on silicon and carbon rather than oxygen, while carbon containing radicals preferentially absorb on oxygen and carbon, but not on silicon. The absorption rate of fluorine atoms is therefore proportional to ( J + J ) while the absorption rate of carbon containing Si V C V radicals is proportional to ( J + J ). O V C V Dangling bond annihilation is another way to remove vacancy species from the mixed layer. The annihilation rate should be proportional to ( ) 2 J. The reaction does not change the concentration of silicon in the mixed layer, but removes two vacancy species from the layer. The sixth assumption is that the model only includes lumped reactions instead of the complete set of all possible reactions. A lumped set of reactions is a subset of the complete set of reactions which has been selected to adequately account for the loss of all elemental species from the surface layer by reactions. This limited set is desirable as it limits the number of rates that must be fitted by the experimental data, but adequately represents the experimental behavior. The complete set of reaction products are not specifically modeled here since they are unknown and difficult to accurately measure in most experiments. Si V 230

231 Neutral Radicals & Ions R addition Plasma < R removal Reaction Products Neutral Radicals & Ions R addition Plasma > R removal Reaction Products Mixed Layer Mixed Layer R movement Rmovement = Rremoval Raddition Substrate R movement Deposited Layer Rmovement = Rremoval Raddition Substrate Net Etching Net Deposition Figure 5.2 Concepts of net etching and deposition. On the left, net etching of the substrate is illustrated in which the etching flux into the plasma exceeds the deposition flux from the plasma. The mixed volume acquires a flux of material from the substrate to maintain its atom number in the mixed layer as it translates into the substrate. On the right, net deposition on the substrate is illustrated in which the deposition flux from the plasma exceeds the etching flux into the plasma. The mixed volume rejects a flux of material from the substrate to maintain its atom number in the mixed layer as it translates away from the substrate. The selection of lumped reactions should be based on both existing experimental evidence of the primary products that are formed as well as their completeness in the sense of adequately accounting for the removal of all atomic species with sufficient independence that the experimental data can be fitted. For example, during silicon etching in chlorine plasma, although SiCl, SiCl 2, SiCl 3, SiCl 4 and Si 2 Cl 6 are all possible reaction products, the formation reaction of SiCl 2 alone was chosen to represent the overall ion induced reaction 231

232 while the formation of SiCl 4 was chosen to represent the overall thermal reaction since these are observed to be the major products in the ion enhanced etching and the chemical etching, respectively. 63 Also ion induced reaction for Cl 2 formation is included to better model the data. The seventh assumption is that the total numbers of atoms and vacancies are conserved in the translating mixed layer model and that the deposition or etching rate is determined by the difference between the total atoms to and from the surface layer. 189 As shown in Figure 5.2, if the atomic flux to the layer is less or more than the flux from the mixed layer, the translation of the layer into or away from the substrate provides the necessary flux to maintain the constant total number of atoms. For example, under the etching conditions, the etching rate equals the rate that the substrate provides atoms to the mixed layer to balance the difference between the rates of atom removal from and atom addition into the mixed layer by the plasma interactions. The relative concentration of atoms in the flux from the substrate to the mixed layer is determined by in the composition of the substrate. For example, in silicon etching, it is composed of 100% silicon atoms; while in silicon dioxide etching, the flux is composed of 33% silicon atoms and 67% oxygen atoms. Under the deposition conditions, a deposit is formed on the substrate with the same composition as the mixed layer. The conservation of each species, including the real atoms and the vacancies, serves as constraint equations for the model. Solving the coupled equations yields the net etching or deposition rate. The above assumptions make the proposed surface kinetics modeling framework generic, convenient and accurate. The general equation for the nearest neighbor probability 232

233 calculation and thus the calculation of surface moieties, the ion induced reaction rates, the absorption rates and tthe dangling bond annihilation rate, yield a generic modeling framework for the modeling of the surface kinetics of plasma processes. It can be used in the etching of silicon, dioxide, low-k, high-k and other materials in the halogen, fluorocarbon and other plasmas, as long as the atomic species in the mixed layer is determined to start the modeling. The framework is convenient since only the incoming neutral flux and composition, ion flux and composition, and substrate composition are required for this computation. The flux and composition information can be either experimentally measured or estimated using a plasma model. No structure or detailed information of the substrate is necessary, which is extremely appealing when studying the increasingly more complex materials in current semiconductor processing that contain a greater number of atomic species. The selection of lumped reaction set and exclusion of all surface species formation reactions by the surface moiety calculations greatly reduce the number of parameters that have to be experimentally fitted. It greatly reduces the number of reaction rate coefficients that must be fitted by the existing experimental data while maintaining a reasonable physical model of the surface processes. 5.3 Reaction Rate Calculation The proposed modeling framework includes the following mechanisms: the ion incorporation, the neutral absorption, the physical sputtering, the vacancy generation, the ion induced reaction, the densification reaction, the dangling bond annihilation, the spontaneous reaction and the surface recombination. Diffusion is not included in the model since it is inconsistent with the model assumptions. It was found that the etching rate variation ascribed to the diffusion in the literature can be modeled by the surface 233

234 composition changes rather than the diffusion limitations. 189 This section describes the calculation of these reaction rates and the method to determine the corresponding parameters. All reaction rates in the model are normalized to the reaction yield by the incoming ion flux, and specific neutral and ion fluxes are also normalized in the same way. This normalization makes the model generally dimensionless and consistent with the observation that the etching yield is primarily dependent on the ratio of the fluxes and relatively independent of the magnitude of the fluxes. 41,43,190 The etching or deposition yield, meaning the number of atoms/molecules removed or added when one ion strikes the surface, is calculated by r ρ film R = Γ, (5.3) total in which R is the ion-induced etching or deposition yield, Γtotal is the total ion flux density, and ρ is the number density of atoms or molecules in the substrate or deposited film and film r is the etching or deposition rate Ion Incorporation Ions at the normal incidence are assumed to be implanted when striking the translating mixed layer. Consistent with the TRIM simulations for the ion bombardment of carbon films, ions were assumed to have an incorporation probability of 100%. 191 Using chlorine ions incorporation as an example, the incorporation yield is calculated by R = S G f, (5.4) A_ Cl _ i Cl _ i Cl _ i in which R A_ Cl _ i is the incorporation yield of the chlorine ions, G Cl _ i is the normalized 234

235 chlorine ion flux to the total ion flux. f is threshold adjustment factor, and S is the proportionality parameter, which can be considered as the sticking coefficient of chlorine ions. S Cl _ i is set to one to represent 100% incorporation. The threshold adjustment factor f is set to one when the ion energy is greater than the threshold energy of the physical sputtering by the corresponding ions, and set to zero when the ion energy is below. This avoids the unphysical deposition of ions at very low ion energy. Without this adjustment, a 1 ev chlorine ion beam striking the silicon surface would created a surface completely composed of chlorine atoms, which is inconsistent with the experimental observation that the deposition rate decreases to zero when ion bombardment energy approaches zero. 77 Cl _ i Neutral Absorption The chemisorption rate of the neutrals within the surface layer is proportional to the incoming neutral flux and the available sites within the surface layer. When normalized by the total ion flux, the absorption yield, using incoming chlorine atoms absorption on silicon atoms in the mixed layer as an example, is calculated according to the following equation R = S J G, (5.5) A_ Cl _ on_ Si Cl _ on _ Si Si V Cl in which R A_ Cl _ on_ Si is the absorption yield; S Cl _ on_ Si is the sticking coefficient for the chlorine atoms on the active sites associated with silicon; J Si V is the active sites concentration hosted on the silicon atoms and G Cl is the ratio between the incoming chlorine atom flux and the total ion flux. In the beam experiments with chlorine atoms and argon ions, 42 G Cl corresponds to the experimentally measured neutral to ion flux ratio. In 235

236 the experiments of the silicon etching in chlorine plasma, 81 G Cl is calculated as the product of neutral to ion flux ratio and the fraction of chlorine atoms in the incoming neutral flux. Sticking coefficients such as S Cl _ on_ Si should not depend on the ion bombardment energy but should only depend on the flux of the chlorine atoms and the activated silicon. In the models developed in the past, the sticking coefficients were assumed to be proportional to square root of the ion bombardment energy. 42,43,76,77,192 The square root dependence is unphysical and most likely represents the greater generation of the adsorption sites by the ion bombardment with increasing ion energy. With detailed balance of the dangling bonds in the current model framework, the unphysical assumption of the energy dependence of dangling bond was eliminated. The sticking coefficients used in the model must be fitted using the experimental etching yield data and the model Physical Sputtering Physical sputtering, as defined by Sigmund, 193,194 is a class of erosion phenomena observed of a material surface as a consequence of (external or internal) particle bombardment; is observable in the limit of small incident-particle current (to exclude particle beam heating caused evaporation); and is observable in the limit of small incidentparticle fluence (to ensure that a single incident particle initiates a sputtering event). The physical sputtering yield is proportional to the product of corresponding normalized surface layer concentration and normalized incoming ion flux as shown in equation (5.6) with silicon sputtered by argon ions as an example, R = Y x G, (5.6) s_ Si_ by_ Ar Si_ by_ Ar Si Ar _ i 236

237 where R s_ Si_ by_ Arrepresents the sputtering yield of Si by impinging Ar ions, Y Si _ by _ Ar is the sputtering yield coefficient, x Si is the silicon atom concentration normalized by the number of total atoms in the mixed layer, and G is the fraction of Ar ions in the total incoming Ar _ i ion flux. The physical sputtering rate of the pure substrate is proportional to the incoming ion flux. When normalized by the total ion flux, the physical sputtering yield is proportional to the normalized ion flux. When the bombarded surface has multiple atomic species, the sputtering yield is adjusted by the mole fraction of target atoms in the mixed layer, as x Si is included in equation (5.6). The physical sputtering yield of reactive ions such as Cl + or CF 2 + can be calculated in the same way and should be distinguished from the chemical sputtering yield reported in the literature. 45,46 The chemical sputtering yield during the silicon etching in CF 2 + is a combination of both ion induced reaction and physical sputtering as is discussed in this section. Therefore, the experimentally measured etching yield of the reactive ions cannot be used as the physical sputtering yield coefficient. The physical sputtering yield coefficient of reactive ions must be computed using a general set of equations based on the theory and the empirical fitting of the non-reactive ions. Physical sputtering yield coefficient is a function of the target species, the projectile species, the ion bombardment energy and the ion impinging angle. A set of equations for its calculation at bombardment energy less than 1000 ev was developed based on both theoretical reasoning and the empirical fitting. The sputtering yield is expressed as in ( ) ( ) Y = A E E f θ, (5.7) t _ by _ p th 237

238 in which Y t_ by_ p is the sputtering yield coefficient of the target t by the projectile ion p, and E is the ion bombardment energy; E th is the threshold energy; A is the linear proportional coefficient; and f ( θ ) is a function of the off-normal angle θ to represent the angular dependence. The linear dependence of the physical sputtering yield coefficient on the square root of energy follows the results proposed by Steinbruchel et al, 195,196 and an empirical formula for Eth was recently developed by Wittmaack et al as ( ) ( ) E = 25.2 M / M M / M, (5.8) th t p t p where M p, Zp, Mt, Ztare the mass, atomic number of the projectile ions and the target atoms. The angular dependence f ( θ ) is modeled using a polynomial fitting of the experimentally measured angular dependence of the physical sputtering yields. 192 As calculated by the equation ( ) = 81.70( cos ) ( cos ) ( cos ) f θ θ θ θ 2 ( θ) ( θ) cos cos , (5.9) the maximum sputtering yield occurs at about 55 o off-normal angle, with the value about 70% higher than the yield at the normal incidence angle. It is assumed that the angular dependence function does not depend on the ion bombardment energy and the ion species and therefore equation (5.9) is generally used in all sputtering yield calculations. The proportional coefficient A in equation (5.7) is a function of both the ion and target atom species. A general equation for its calculation was developed and the parameters were determined by the empirical fitting. Combining the equations proposed 238

239 by Sigmund-Thompson 194, the empirical formula proposed by Bohdansky et al 198,199 and Matsunami et al 200, the following equation was developed ( ) 1/2 M t A= ZpZt , (5.10) MP + Mt in which M p, Zp, Mt, Ztare the mass, atomic number of the projectile ions and the target atoms. The coefficients in equation (5.10) were decided by fitting the equation to the experimental sputtering yield of inert ions. 195,197,201 Equations (5.8), (5.9) and (5.10) are used to calculate the sputtering yield coefficients between any ion and any target atom at any energy and incident angle. We realize that they do not capture the effect of bond energy associated with the compounds and may be inaccurate for the calculation of physical sputtering in non-pure materials. They are used nevertheless for three reasons. One, the sputtering yield is relatively small compared to the ion induced reaction yield; therefore, a small error in the sputtering yield does not significantly affect the final etching yield result. Two, by the inclusion of the physical sputtering, finite removal mechanisms are included for any presence in the film, and hence removes small impurities without necessitating other means of removal. Third, since the sputtering yield coefficients are not experimentally fitted, the number of parameters that must be fitted is reduced Vacancy Generation The vacancy generation yield was assumed to be proportional to the normalized incoming ion flux. Vacancies are generated by ions striking the surface and breaking the bonds between atoms in the mixed layer. Therefore, the vacancy generation rate is proportional to the ion flux and it is normalized by the total ion flux to give a linear 239

240 relationship between the vacancy generation yield and the normalized ion flux. The equation was expressed in equation (5.11) using the vacancy generation by argon ion as an example, R = β G, (5.11) A _ V _ by _ Ar V _ by _ Ar Ar _ i in which R A_ V _ by _ Ar is the vacancy generation yield due to the argon ion bombardment, G means the fraction of argon ions in the total ion flux and β Ar _ i V by Ar is the linear coefficient. It was assumed that proportionality constants such as β V by Ar should have the a similar angular and energy dependence as that of physical sputtering coefficient since both are related directly to bond breakage in the surface layer. Physical sputtering can be considered as a special case of the bond breakage in which all the bonds of the target atoms are broken. Therefore, it seems reasonable that the angular and energy dependence of dangling bond or vacancy formation would have a similar dependence to the physical sputtering yield. While this assumption is crude, it captures the increased bond breaking near the surface at the peak sputtering yield angle and reduced bond breakage at more grazing angles as well as the increased number of bond broken with increasing ion energy. Thus the linear coefficient for the vacancy formation is given by β ( ) ( θ ) = A E E f, (5.12) V _ by _ Ar th where the angular dependence f ( θ ) is the same as that in equation (5.9). The parameters A and Eth cannot be calculated by equation (5.10) and (5.8), and they must be determined by experimental fitting. 240

241 5.3.5 Ion Induced Etching Ion induced etching yield for a given product species is assumed to be proportional to the corresponding surface moiety concentration as calculated by the nearest bond neighbor probability. For example, the yield R E _ SiCl for the ion induced reaction to produce SiCl 2 2, is calculated as in which SiCl2 [ ] ( ) RE SiCl = βsicl SiCl = βsicl J Si Cl, (5.13) _ β is the ion induced reaction coefficient for SiCl 2 formation, [ ] 2 SiCl is the SiCl 2 concentration, and J Si Cl is the nearest neighbor probability between silicon and chlorine atoms. The constants such as β SiCl are functions of the ion bombardment energy E and the 2 off-normal angle θ of the incident ions, 2 β SiCl 2 ( th ) ( θ ) = A E E g, (5.14) in which A is a constant and E th is the threshold energy associated with the reactions and g ( θ ) is the normalized angular dependent function, which equals to one at the normal incident angle. The linear dependence between β SiCl and the square root of the ion 2 bombardment energy was justified in other earlier models ,190,192 The angular dependence of βsicl are shown in equation (5.14). There is no general method to calculate 2 A, E or g ( θ ) th ; therefore, the coefficients for each ion induced reactions have to be determined by experimental fitting. 241

242 5.3.6 Densification Reactions Densification reaction is the removal of vacancy species by ion bombardments. Densification is related to the binary collision cascade that also produces the physical sputtering. Using the densification by Ar ions as an example, the densification yield was estimated by the equation R = Y x G, (5.15) s _ V _ by _ Ar V _ by _ Ar V Ar _ i where Y V _ by _ Ar is the densification yield coefficient, x V is the ratio between the number of vacancies and the number of total atoms in the mixed layer. Similar to the physical sputtering yield coefficient, the densification yield coefficient is assumed to be the same as equation (5.7), ( ) ( ) Y = A E E f θ (5.16) t _ by _ p th with f ( θ ) adopting the same formula as in equation (5.9) Dangling bond annihilation Using the dangling bonds on silicon, J Si _ V, as an example, the dangling bond annihilation rate is calculated by: R ( J ) 2 _ = β, (5.17) V Si _ V Si V where β is the proportionality constant for dangling bond on silicon. Notice the Si _ V reaction doesn t move silicon from the mixed layer, but removes two vacancy species from the mixed layer. The proportionality constant is fitted experimentally and is different for the dangling bonds on different host atoms. In this model, β is assumed not to depend Si _ V 242

243 on the ion bombardment energy but on the substrate temperature. Therefore, we are treating the dangling bond annihilation like a thermal reaction Spontaneous Reactions Spontaneous reactions are the chemical etching reactions that form products such as SiF 4. Spontaneous chemical etching reactions are included in the model by normalizing their rates by the total ion fluxes. When the chemical etching reaction is limited by the incoming neutral flux, the reaction rate is proportional to the neutral flux with constants having an Arrehnius of dependence on the sample temperature. The constants were measured by Flamm et al for the silicon and silicon oxide etching in fluorine atoms 27 and were measured by Walker et al for silicon etching by chlorine atoms, bromine atoms and chlorine molecules Although the thermal reactions do not depending on the incoming ion flux, the reaction rates and the neutral flux were both normalized by the total ion flux to obtain an effective etching yield, so that all the processes have the same units. This same approach was adopted by Gogolides et al. 44,76,77 The spontaneous reaction yield for SiF 4 is calculated by Rthermal = m GF xsi, (5.18) in which G F is the ratio of the fluorine atom flux to the total ion flux; m is the proportionality constant; m is a function of the surface reaction and is calculated by the experimentally measured rate and the film density. Chemical etching reaction rate to form SiCl 4 is small and hence m is set to zero while a finite value must be adopted for SiF 4 reaction. 243

244 5.3.9 Surface Recombination Surface recombination reactions can also be included in the model. Surface recombination reactions have not been typically included in the kinetic models of silicon etching in chlorine plasma; however, chlorine recombination is known to be significant on many surfaces. Both Butterbaugh et al 39 and Gray et al, 190 during the silicon dioxide etching in the fluorocarbon plasma, experimentally observed the recombination and found it is necessary to consider the reaction of CF 3 radicals from the plasma recombining with the surface fluorine to form CF 4. The yield of the recombination reaction is calculated as R = α J G, (5.19) 4 3 CF F V CF where αcf is the surface recombination coefficient to form CF 4 4 ; G CF is the normalized CF 3 3 radical flux by the total ion flux; and J F V is the nearest neighbor probability between atomic fluorine and dangling bond. J F V is used to represent those fluorine atoms that are not chemically bonded but are incorporated/physisorbed in the mixed layer. In the mass balance equation, the reaction yield of Equation (5.19) decreases the fluorine atomic number from the mixed layer by one. The physisorption of the CF 3 before reaction is not specifically included, and therefore is accounted by the fitting of the rate coefficient. Similarly, this model ignores other pathways such as the chemisorption of CF 3 on an oxygen atom followed by surface reaction with atomic fluorine to form CF 4 ; therefore, our fitted coefficients account for such processes in part by the fitting of the coefficients. 244

245 Governing Equations: Balance of the Volume and Individual Species The governing equations for the model are the conservation equation for the total volume of the mixed layer, and the conservation equation for the number of each species including both the real atoms and the vacancies. Total volume of the mixed layer is conserved by the addition of species from the underlying substrate (etching) or the removal of atoms from the mixed layer to the deposited layer (deposition). Therefore, the etching or deposition yield is calculated as the difference between the total yields of atomic addition and the total yield of atomic removal from the mixed layer. Indicating the number of added atoms from addition reaction R Ai as b Ai, the total number of removed atoms from etching reaction of atoms in the molecule of the etched substrate or deposited film as b etching or deposition yield is calculated as R overall = L N bei REi bai RAi i= 1 i= 1 b Film _ or _ Sub R Ei as b Ei, and the number Film or Sub, the overall, (5.20) in which N addition reactions and L removal reactions are considered. b Ai and b Ei of each reaction can be easily counted. For example, the addition reaction of Cl 2 + adds two atoms to the mixed layer while the etching reaction to form SiCl 2 removes three atoms from the mixed layer. R overall represents the etching yield when positive and deposition yield when negative. During etching, b Film_ or _ Sub is the number of atoms per molecule in the substrate. For example, it equals 1 for silicon substrate while it equals 3 for silicon dioxide substrate. During deposition, b Film_ or _ Sub is usually set to 1 and percentage is used to represents the 245

246 fraction of atoms in the flux from the mixed layer to deposited layer, which should be the same as the mixed layer. The conservation of each species provides other governing equations that the model must solve. Assuming vi, jas the number of added atoms of species j from addition reaction R Ai, and assuming i, j w as the number of removed atoms of species j from etching reaction R Ei, the mass balance for species j is written as: N L i, j Ai i, j Ei. (5.21) i= 1 i= 1 0 = v R w R Notice the etching yield or the deposition yield should also enter the equation as one addition reaction yield or removal reaction yield. For example, the etching yield of silicon substrate is counted in the silicon conservation equation as an addition reaction to the mixed layer, and similarly etching yield of silicon oxide substrate are counted in both silicon and oxygen conservation equation Numeric Realization It is very difficult to directly solve equations (5.20) and (5.21) with MATLAB or MATHEMATICA. The reason is twofold. First, equations (5.20) and (5.21) are conditioned on whether etching or deposition case should be solved. For example, in the case of silicon dioxide etching in the fluorocarbon plasma, the yield calculated in equation (5.20) should enter the mass balance of silicon and oxygen only during etching regime; while the yield should enter all mass balance equation during deposition regime. Second, even if the system is known to be in either the etching regime or the deposition regime, multiple mathematically correct solutions can exist for the above equations, many of which 246

247 are physically incorrect. In addition, it was found that in most cases, the physically correct solution was not found by these programs. The numerical difficulties of multiple and physically incorrect solutions were avoided by integrating the set of coupled time differential equations from the substrate composition to the steady state rather than solving for the steady state solution directly. Equation (5.21) was revised to a time differential equation of species j dx, (5.22) N L j = vi, j rai wi, j rei dt i= 1 i= 1 that was integrated to the steady state solution starting from the substrate composition. For silicon etching, the initial condition is that the normalized silicon concentration is one and all other species are zero. The right hand side of equation (5.21) should be different, determined by whether the yield calculated in equation (5.20) is positive or negative. The approach was realized in the commercial simulation environment JACOBIAN that was found to robustly yield both mathematically and physically accurate solutions. 5.4 Example of the Model Construction The assumptions described in section 5.2 and the reaction rate calculation based on section 5.3 form a generic framework to construct the surface kinetics model between any plasma and any surfaces. In this section, construction of the surface kinetics model for the silicon etching in the chlorine atom and chlorine ion beams is described to demonstrate the general model construction steps and the method used to determine the necessary parameters. Step one is to determine the atomic species in the mixed layer. For the silicon etching in the chlorine chemistry, obviously silicon and chlorine atoms are the real atoms in the 247

248 mixed layer plus vacancies for a total three species in the mixed layer. Their normalized concentrations are x Si, x Cl and x V for the silicon, chlorine and vacancies, respectively. The fractions of all the species sum to one ( x + x + x = 1). Si Cl V Step two is to determine the lumped reactions and the products. In the example, there should be the ion incorporation of the chlorine ions, the chemisorption of the chlorine atoms, the physical sputtering of the silicon atoms by the chlorine ions, the physical sputtering of the chlorine atoms by the chlorine ions, the vacancy generation, the ion induced reaction to form SiCl 2, the ion induced reaction to form Cl 2, the densification reactions by the Cl ions, the dangling bond annihilation/recombination reaction, and the spontaneous reaction to form SiCl 4. The surface recombination reaction for Cl 2 formation is included as an example of how to include the surface recombination, but its rate coefficient is set to zero since it is usually not significant in the silicon etching. Step three is to calculate the reaction yield of the determined reactions. The corresponding normalized flux is calculated from the neutral to ion flux ratio and the composition of neutrals and ions. The ion incorporation yield of the chlorine ion is R = S G, (5.23) A_ Cl _ i Cl _ i Cl _ i where the normalized chlorine ion flux G _ = 1 and the incorporation coefficient Cl i S =. Cl _ i 1 The chemisorption rate of the chlorine atoms on the silicon atom is calculated from R = S J G, (5.24) A_ Cl _ on_ Si Cl _ on _ Si Si V Cl 248

249 in which the normalized chlorine atom flux G Cl equals the neutral to ion flux ratio and the J Si V is calculated by by J Si V 4xSi xv = 4x + x + x Si Cl V. (5.25) The physical sputtering yields of the silicon atoms and the chlorine atoms are calculated R = Y x G (5.26) s _ Si _ by _ Cl Si _ by _ Cl Si Cl _ i and R = Y x G, (5.27) s _ Cl _ by _ Cl Cl _ by _ Cl Cl Cl _ i where the physical sputtering coefficient Y Si _ by _ Cl and Y Cl _ by _ Cl are calculated from equations (5.7)-(5.10), depending on the ion bombardment energy and the incident angle. Notice that the adsorption reaction yield also counts for vacancy removal yield, as shown in equation (5.42). The vacancy generation is calculated from R = β G. (5.28) A_ V _ by _ Cl V _ by _ Cl Cl _ i The ion induced reaction yield for SiCl 2 and Cl 2 formation are calculated from equation (5.13) and the equation R = β J, (5.29) E Cl Cl Cl Cl _ 2 2 with J Cl Cl and J Si Cl in equation (5.13) calculated from J Si Cl 4xSi xcl = 4x + x + x Si Cl V (5.30) and 249

250 J Cl Cl x = 24 The densification reaction yield is calculated as Cl x Cl ( x + x + x ) Si Cl V. (5.31) R = Y x G. (5.32) d _ V _ by _ Cl d _ by _ Cl V Cl The dangling bond annihilation reaction yield is calculated by ( ) β ( ) 2 2 V βsi _ V Si _ V Cl _ V Cl _ V R = J + J, (5.33) since both the dangling bonds hosted on the silicon and chlorine atoms are considered. The spontaneous reaction yield to generation SiCl 4 is calculated by Rthermal = m GCl xsi. (5.34) And finally, the surface recombination yield for Cl 2 is calculated by R = α J G, (5.35) R _ Cl 2 Cl 2 Cl _ V Cl and this reaction accounted for only one chlorine atom removal from the mixed layer since another one comes from the incident neutral flux. Step four is to calculate the etching or deposition yield based on equation (5.20), as followed in the example, Roverall = Rs _ Si _ by _ Cl + Rs _ Cl _ by _ Cl + 3RE _ SiCl + 2R 2 E _ Cl + R 2 d _ by _ Cl + RV. (5.36) + R + R R R R thermal R Cl A Cl i A Cl on Si A V by Cl _ 2 R overall is positive during etching and negative during deposition. Step five is to set up the balance equations for all the species based on equation (5.22). When R overall is positive, the time differential form of the mass balance equations for the silicon, chlorine and vacancy are dx dt Si = R R R R, (5.37) overall s _ Si _ by _ C l E _ SiCl 2 thermal 250

251 dx dt Cl = R + R R 2R 2R R, (5.38) A Cl i A Cl on Si s Cl by Cl E SiCl E Cl R Cl _ 2 _ 2 _ 2 and dxv dt = R R R R R. (5.39) A _ V _ by _ Cl d _ by _ Cl V A _ Cl _ on _ Si A _ Cl _ i When R overall is negative, it represents the flux of atoms from the mixed layer to the deposited layer. Therefore, equations (5.37), (5.38) and (5.39) should be modified to dx dt Si = R x R R R, (5.40) overall Si s _ Si _ by _ C l E _ SiCl2 thermal dx dt Cl = R x + R + R overall Cl A _ Cl _ i A _ Cl _ on _ Si R 2R 2R R s Cl by Cl E SiCl E Cl R Cl 2 _ 2 _ 2. (5.41) dxv dt = R x + R R R R R. (5.42) overall V A _ V _ by _ Cl d _ by _ Cl V A _ Cl _ on _ Si A _ Cl _ i Step six is to set the initial condition of the mixed layer as x Si = 1.0, xcl = xv = 0.0 and integrate equations (5.37)-(5.42) to the steady state, and hence to derive the R overall as either the etching rate or the deposition rate and to determine the normalized concentration in the mixed layer. Notice in each integration step, the sign of R overall is evaluated to determine whether to use the equation set of (5.37), (5.38), and (5.39) or the equation set of (5.40), (5.41), and (5.42). Step six is to iterate above steps to determine the best set of rate coefficients. The predicted etching rate data were compared to the model predictions and the rate coefficients were fitted using a non-linear regression algorithm to determine the best coefficient values. The resulting model is then considered to insure that the resulting predictions of the surface composition are physically reasonable. Plots of the resulting model predictions are made 251

252 with the process condition variation to assure that the model yielded physically reasonable trends in the rate and the surface composition. If not, the basis set of the lumped reactions would be modified and tested to provide a more physically correct model. The best fit parameters are shown in Table 5.1. The equations were integrated to the steady state for silicon etching in chlorine atoms and chlorine ions when the neutral to ion flux ratio equals 500 and the chlorine ions impinge at the normal angle with 55 ev bombardment energy. The changes of the normalized surface concentration and etching/deposition yield along the integration steps are shown in Figure 5.3. Starting from pure silicon, the bombardment of chlorine ions incorporates chlorine atoms in the mixed layer and generates vacancies in the layer. The vacancies provide sites for chlorine atoms absorption and further increase the amount of chlorine in the mixed layer. Before adequate chlorine concentration, the addition reaction occurs faster than the removal reaction, causing negative overall yield, or deposition is happening as observed in the first 0.2 time unit. The initial deposition during the plasma etching as predicted in the model is consistent with the experimental observation by Coburn et al and by Jin et al. 63 When adequate chlorine atoms are incorporated in the mixed layer, the greater ion-induced reaction rate causes the removal to exceed chlorine incorporation, and overall yield indicates etching begins between time units 0.2 and 0.4. The system quickly converges to a steady state and the etching yield under this condition is determined to be 2.5 silicon atoms per incident ion and the normalized surface concentration of silicon, chlorine and vacancies are found to be about 0.54, 0.44 and

253 Surface Layer Concentration X_Si X_V X si Silicon Concentration X Cl Chlorine Concentration X V Vacancy Concentration X_Cl Etching/Deposition Yield R_overall Integration Time (a.u.) Figure 5.3 Change of the normalized surface concentration, etching/deposition yield with integration time for silicon etching in chlorine atoms and chlorine ions. The neutral to ion flux ratio equals 500 and the chlorine ions impinge at normal angle with bombardment energy 55 ev Etching/Deposition Yield The undetermined constants β V _ by _ Cl, S Cl _ on_ Si,, β Si _ V, β Cl _ V, β SiCl, β 2 d _ by _ Cl and β Cl 2 in the example model are determined by fitting the experimentally measured dependence between the etching yield and the neutral to ion flux ratio at different energy level, as shown in Figure Then the proportionality constant and the threshold of the energy dependence of β V by Cl, are determined by non-linear regression. Parameters β SiCl 2, S Cl _ on_ Si, β Si _ V, β Cl _ V, and β Cl are also used for modeling of the silicon etching in 2 the chlorine atoms/argon ions 42 or chlorine molecules/chlorine molecular ions 205, and therefore as many the experimental data as possible are fitted together to achieve the best estimation of these reaction parameters. Nearly one hundred experimental data at different neutral to ion flux ratios, flux compositions and energies were used to fit the thirteen parameters shown in Table 5.1, a list of all the reactions and parameters for all the silicon etching in chlorine or argon related plasma. 253

254 Table 5.1 List of reactions and parameters in models for silicon etching in chlorine related system # Reactions Reaction Yield Calculation Coef. Parameters A E (c) th 1 Cl + (g) Cl(s) (a) Ra_ Cl _ i = SCl _ i GCl _ i f Assumed 1 (d)(e) 2 Cl + 2 (g) 2Cl(s) 3 Si-V + Si-V Si-Si 4 Cl-V + Cl-V Cl-Cl 5 Si(s) + 4Cl(g) SiCl 4 6 Cl(g) Cl(s) 7 Cl 2 (g) 2Cl(s) 8 Si(s) Si(g) (by Ar + ) 9 Si(s) Si(g) (by Cl + ) 10 Si(s) Si(g) (by Cl + 2 ) 11 Cl(s) Cl(g) (by Ar + ) 12 Cl(s) Cl(g) (by Cl + ) 13 Cl(s) Cl(g) (by Cl + 2 ) 14 V (s) (by Ar + ) 15 V (s) (by Cl + ) 16 V (s) (by Cl + 2 ) R = S G f Assumed 1 (e) a_ Cl _ i Cl _ i Cl _ i R R = β ( J ) 2 Si V Si V Si V = β ( J ) 2 Cl V Cl V Cl V Fitted 2 Fitted 2 rthermal = m GCl x Assumed 0 Si R = S J G Fitted a_ Cl _ on_ Si Cl _ on _ Si Si V Cl a_ Cl 2_ on _ Si Cl 2_ on _ Si Si V Cl R = S J G Fitted 0.08 R = Y x G Calc. (b) s_ Si_ by_ Ar Si_ by_ Ar Si Ar_ i R = Y x G Calc s_ Si_ by_ Cl Si_ by_ Cl Si Cl_ i R = Y x G Calc s_ Si_ by_ Cl2 Si_ by_ Cl2 Si Cl2_ i R = Y x G Calc s_ Cl_ by_ Ar Cl_ by_ Ar Si Ar_ i R = Y x G Calc s_ Cl_ by_ Cl Cl_ by_ Cl Si Cl_ i R = Y x G Calc s_ Cl_ by_ Cl2 Cl_ by_ Cl2 Si Cl2_ i R R = β G Fitted V _ by _ Ar _ i V _ by _ Ar _ i Ar _ i R = β G Fitted V _ by _ Cl _ i V _ by _ Cl _ i Cl _ i = β G Fitted V _ by _ Cl2_ i V _ by _ Cl2_ i Cl2_ i 17 Si(s) + 2Cl(s) SiCl 2 r = β 2 2 ( J ) E _ SiCl SiCl Si Cl 2 Fitted Cl(s) + Cl(s) Cl 2 19 Si(s) + 4Cl(g) SiCl 4 r E = β J Fitted _ Cl Cl 2 2 Cl Cl rthermal = m GCl x Assumed 0 Si 254

255 20 V NULL (by Ar + ) 21 V NULL (by Cl + ) R = β X G Fitted d _ by _ Ar _ i d _ by _ Ar V Ar _ i R = β X G Fitted d _ by _ Cl _ i d _ by _ Cl V Cl _ i 22 V NULL (by Cl 2 + ) R = β X G Fitted d _ by _ Cl 2_ i d _ by _ Cl 2 V Cl 2_ i (g) and (s) mean the gas and solid phase, respectively. Coefficients are assumed, calculated or experimentally fitted. Physical sputtering are calculated from equation (7)- (10). Threshold energy Eth is in unit of ev If single value is specified in coefficient column, no ion bombardment energy dependence is assumed for that coefficient. f is the threshold adjustment factor which is zero for ion energies below the sputtering threshold energy and one for greater energies eV Experiments 55eV_Experiments 35eV_Experiments 75eV_Model 55eV_Model 35eV_Model Etching Yield Neutral to Ion flux ratio Figure 5.4 Dependence of etching yield on neutral to ion flux ratio for silicon etched by chlorine atom and chlorine ion beams. One of the major advantages of the modeling framework is the fast modeling speed. Using a PC with a Pentium 4 CPU with a 2 GHz clock rate and a 512 Mbytes memory, only one second is necessary to calculate the etching yield for a given condition of a reaction set, as in Figure 5.3. One minute is sufficient to compute the dependence of the etching yield and the surface concentration on given parameters like the neutral to ion flux 255

256 ratio or the ion bombardment energy, as in Figure 5.4. To obtain the parameter as listed in Table 5.1 by experimental data fitting, usually ten hour is sufficient to determine the best reaction coefficients by nonlinear least squares regression if the selected reaction set is physically reasonable. 5.5 Results and discussion The results obtained from fitting the silicon etching in Cl/Cl +, Cl/Ar + +, and Cl 2 /Cl 2 are discussed in section 5.5.1, section and section Then section compares the predicted etching yield in Cl 2 plasma to the experimentally measured one. We further discuss the predicted angular dependence from the model and its comparison to the experiments in section Finally the model for the silicon etching in the bromine plasma is described in section and the expansion of the model to more complicated system is discussed Silicon etching in chlorine atoms and chlorine ions Dependence of the silicon etching yield on the neutral to ion flux ratio for the silicon etching in the chlorine atoms and chlorine ions is well fitted by the model. The model was described in section5.4 and Figure 5.4 shows the experimental and model results of the dependence of the etching yield on the neutral to ion flux ratio. Model results are found to closely match the experimental results at three different ion bombardment energies and multiple neutral to ion flux ratios, showing that the model is physically reasonable. Only a small set of parameters was fitted, as described in section 5.4 and listed in Table 5.1. The model explains the saturation of the etching yield with the increasing neutral to ion flux ratio. The experimental results in Figure 5.4 show that the etching yield levels off at 256

257 higher neutral to ion flux ratios as the chlorine in the layer saturates. 42,43 The predicted dependence of the surface concentration of silicon, chlorine, and vacancy on the neutral to ion flux ratio is plot in Figure 5.5 for the ion bombardment energy of 55eV. The range between zero and 150 for the neutral to ion flux ratio represents unsaturated regime because the etching yield increases with the neutral to ion flux ratio in Figure 5.4. In the same regime, the concentration of the silicon decreases and the concentration of the chlorine increases, accompanied by the drop of the dangling bonds. The positive correlation between the etching yield and the chlorine concentration shows that the etching yield is limited by the amount of chlorine in the mixed layer. The negative correlation between the chlorine concentration and the vacancy concentration shows that the chlorine atom concentration is limited by the absorption, or the absorption sites in the mixed layer. Therefore, the model shows that in the unsaturated region, the reaction yield is limited by the absorption of the reactive radicals. The regime above the neutral to ion flux ratio of 200 is the saturated regime where the etching yield does not increase with the neutral to ion flux ratio. In this regime, the surface concentration of silicon and chlorine are fixed at about 55% and 45%. In the saturated limit, the etching yield is not limited by the absorption, but by the ion induced reactions. 257

258 Surface Layer Concentration Silicon Chlorine Vacancy Neutral to Ion flux ratio Figure 5.5 Dependence of surface moiety concentrations on the neutral to ion flux ratio for beam experiments with Cl atoms, 55eV Cl ions etching silicon Silicon Etching in the Chlorine Atoms and Argon Ions Similar results of the silicon etching in the chlorine atoms and argon ions are shown in Figure 5.6 and Figure 5.7 and they are consistent with the results of the silicon etching in the chlorine atoms and chlorine ions. A good match is obtained in Figure 5.6 between the model and the experimentally measured dependence of the etching yield on the neutral to ion flux ratio at three ion bombardment energy level, 35eV, 60eV and 100eV. 42 Figure 5.7 shows the dependence of the normalized surface concentration of the silicon atom, chlorine atom and vacancy on the neutral to ion flux ratio. 258

259 5 35eV Exp 60eV Exp 100eV Exp 35eV Model 60 ev Model 100 ev Model 4 Etching Yield Neutral to ion flux ratio Figure 5.6 Dependence of etching yield on the neutral to ion flux ratio for silicon etched by chlorine atoms and argon ions at ion bombardment energy of 35, 60 and 100 ev. Surface Layer Concentration Silicon Chlorine Vacancy Neutral to ion flux ratio Figure 5.7 Dependence of surface concentration of silicon (x_si), chlorine (x_cl) and vacancy (x_v) on the neutral to ion flux ratio for silicon etched by chlorine atoms and argon ions at ion bombardment energy of 60eV. 259

260 The model of the silicon etching in the chlorine atoms and argon ions is constructed similar to that of section 5.4. The mixed layer is still composed of silicon, chlorine and vacancy species and the argon atoms do not enter the mass balance. The lumped reaction set includes the chemisorption of chlorine atoms, the physical sputtering of silicon atoms by argon ions, the physical sputtering of the chlorine atoms by argon ions, the vacancy generation by argon ions, the densification reaction, the ion induced reaction to form SiCl 2, the ion induced reaction to form Cl 2, the dangling bond annihilation reaction and the thermal reaction to form SiCl 4. Only two parameters are different in the model from the case of the silicon etching in the chlorine atoms and chlorine ions, the vacancy generation coefficient and the densification yield coefficient, which are β V _ by _ Cland β d _ by _ Clin the chlorine ion case and β V _ by _ Ar and d _ by _ Ar β in the argon ion case. The sputtering yield coefficients are all calculated based on equation (5.7)-(5.10) and the spontaneous reaction coefficient is set to zero since it is much smaller than the ion induced reaction. Since the experiments of silicon etching in the chlorine atoms and chlorine ions or the silicon etching in the chlorine atoms and argon ions share common constants, it is reasonable to fit the experimental data simultaneously to increase the accuracy of the model fitting. Table 5.1 was obtained by β actually fitting the S Cl _ on _ Si, β V _ by _ Ar, β V _ by _ Cl, β d _ by _ Ar, β d _ by _ Cl, Si V, βcl V SiCl2 β and β Cl 2 simultaneously to the experimental results in Figure 5.4 and Figure 5.6. Since the β V _ by _ Ar, β V _ by _ Cl, d _ by _ Ar β, β d _ by _ Cl, β SiCl and β 2 Cl are all dependent on the ion bombardment energy; 2 both the threshold energy E th and the proportionality constant A were fitted (all data are normal incident angle). There were totally 15 parameters that were fitted using the approximately 100 data points in Figure 5.4 and Figure

261 The model predicted the surface concentration of silicon and chlorine in Figure 5.7 is different from that of the chlorine ion case in Figure 5.5. There are more chlorine atoms in the mixed layer during the silicon etching in Cl/Cl + than the silicon etching in Cl/Ar + case. For example, in the saturated regime, the concentration of the chlorine atom in the mixed layer is 0.35 in Cl/Ar + case, while the chlorine concentration is about 0.45 in Cl/Cl + case Silicon Etching in Chlorine Molecules and Chlorine Molecular Ions Experimental results of the silicon etching in the chlorine molecules and chlorine + molecular ions Cl 2 /Cl 2 are modeled as well 205, and the parameters related to Cl 2 are determined by the experimental fitting. Figure 5.8 shows the dependence of the etching yield on the square root of energy for the silicon etching in chlorine molecules and chlorine molecular ions. The squares are the experimental data and the solid line is the model fitting. The neutral to ion flux ratio in the model is set to 500, assuming saturation regime is reached. A good fit between the experiments and the model is found in Figure 5.8. Figure 5.9 shows the dependence of the surface concentration of silicon (x_si), chlorine (x_cl) and vacancy (x_v) on the ion bombardment energy for the silicon etching in the chlorine molecules and chlorine molecular ions. 261

262 3.5 3 Cl2/Cl2+ Exp Cl2/Cl2+ Model 2.5 Etching Yield Square Root of Energy (ev^0.5) Figure 5.8 Dependence of etching yield on the square root of energy for silicon etching in chlorine molecules and chlorine molecular ions. The squares are experimental data and the solid line is the model fitting. The neutral to ion flux ratio in the model is set to 500, assuming saturation regime is reached. The model of the silicon etching in the chlorine molecules and molecular chlorine ions is constructed similarly to that of section 5.4. The mixed layer is composed of silicon, chlorine and vacancies. The lumped reaction set includes the chemisorption of the chlorine molecule, the physical sputtering of silicon atoms by molecular chlorine ions, the physical sputtering of chlorine atoms by molecular chlorine ions, the vacancy generation by molecular chlorine ions, the densification reaction, the ion induced reaction to form SiCl 2, the ion induced reaction to form Cl 2, the dangling bond annihilation reaction. The absorption of Cl 2 on silicon is actually a lumped reaction of Cl 2 dissociation reaction on the silicon surface followed by two chlorine atoms absorbing on the dangling bonds. Therefore, it is reasonable that the reaction rate coefficient is much smaller than that of the chlorine atom absorption since the Cl 2 dissociation on the surface will be small. 262

263 Additional parameters that are fitted to the experimental data are the sticking coefficient of Cl 2 ( S Cl2 _ on _ Si ),the vacancy generation by Cl + 2 ( β V _ by _ Cl2 ) and the densification reaction yield ( β d _ by _ Cl). Other parameters in the model are the same as those fitted from 2 the silicon etching in Cl/Cl + and Cl/Ar +. Considering the threshold energy and the proportionality constant of β V _ by _ Cl and β 2 d _ by _ Cl, totally five parameters are fitted by about 2 ten experimental data. Since the absorption of Cl 2 is a lumped reaction encompassing Cl 2 dissociation and the chlorine atom absorption, it is reasonable that S Cl2 _ on _ Si to be much smaller than that of S Cl _ on _ Si. 42 The predicted dependence of the surface concentration on the ion bombardment energy in Figure 5.9 shows that the silicon concentration and the chlorine concentration are relatively constant when the energy is above 100 ev while the vacancy increases with the ion bombardment energy. The increase of the vacancy concentration demonstrates that the mixed layer is more open with higher ion bombardment energy, consistent with the experiments and other simulations. Between the ion bombardment energy of 25 ev and 100 ev, the silicon concentration increases and the chlorine concentration decreases, a phenomenon experimentally observed as lower halogenation at higher bombardment energy

264 Surface Layer Concentration Silicon Chlorine Dangling Bond Energy(eV) Figure 5.9 Dependence of surface concentration of silicon (x_si), chlorine (x_cl) and dangling bonds (x_d) on ion bombardment energy for silicon etching in chlorine molecules and chlorine molecular ions Silicon Etching in Chlorine Plasma The experimental results of the silicon etching in the chlorine plasma and the model prediction based on the parameters determined above are compared in this subsection to demonstrate the accuracy of the model framework. Experiments done by Vitale et al showed that the neutrals in the chlorine plasma was composed of 10% chlorine atoms and 90% chlorine molecules and the ion flux was composed of 30% chlorine ions and 70% molecular chlorine ions. The measured etching yield was found to be between the silicon etching yield in Cl/Cl + + and Cl 2 /Cl 2 cases, as shown in Figure

265 Etching Yield Cl/Cl+ Exp Cl2/Cl2+ Exp Cl2 Plasmas Cl/Cl+ Model Cl2/Cl2+ Model Cl2 Plasma Model Square Root of Energy (ev^0.5) Figure 5.10 Dependence of etching yield on the square root of energy for silicon etching in Cl/Cl + beams (diamond), in Cl 2 /Cl 2 + beams(square) and in Cl 2 plasma (triangle). The lines are corresponding results from model. The neutral to ion flux ratios in the models are all 500. The model of the silicon etching in the chlorine plasma is similar to that of the silicon etching in the Cl/Cl + beams or that of the Cl 2 /Cl + 2 beams. The mixed layer is composed of silicon, chlorine and vacancy species. The lumped reaction set includes the chemisorption of chlorine atoms, the chemisorption of chlorine molecules, the physical sputtering of silicon atoms and chlorine atoms by the chlorine ions, the vacancy generation by the chlorine ions and the molecular chlorine ions, the densification reaction, the ion induced reaction to form SiCl 2, the ion induced reaction to form Cl 2, the dangling bond annihilation reaction and the thermal reaction to form SiCl 4. The complete reaction set and the related parameters are shown in Table

266 All the parameters used in the model are already determined from the experimental fitting of the results of the silicon etching in Cl/Cl +, Cl/Ar + and Cl 2 /Cl + 2, and therefore the model should be able to predict the etching yield of silicon in the chlorine plasma if the parameters are accurately determined. With the experimentally determined neutral and ion composition, the normalized fluxes of chlorine atoms, the chlorine molecule, the chlorine ions, and the molecular chlorine ions are calculated to be 0.1, 0.9, 0.3 and 0.7, respectively. The neutral to ion flux ratio is assumed to be 500 since the saturation regime was reached in the experiments. With these conditions, the model predicted the dependence of the etching yield on the square root of the ion bombardment energy is shown in Figure 5.11, in which the results of silicon etching in the Cl/Cl + + and the Cl 2 /Cl 2 are also shown for the comparison. The predicted etching yield is found to closely match that measured in the experiments, showing that the model successfully predicts conditions that were not fitted. The model predicted the surface concentrations of silicon, chlorine and vacancy as a function of the ion bombardment energy are shown in Figure It is also found that the silicon concentration is insensitive to the ion bombardment energy when the energy is above 100 ev. The vacancy concentration increases and the chlorine concentration decreases with higher ion bombardment energy. Therefore, at higher energy, the top layer of silicon should be more open in the chlorine plasma etching, experimentally observed as low halogenation at higher bombardment energy. 43 The abrupt change of concentration below 25eV ion bombardment energy is related to the threshold energy of vacancy generation and ion induced reactions. Under these low ion bombardment energy conditions, the model results become unphysical. Comparison of Figure 5.11 to Figure 5.9 and Figure 266

267 5.5 indicate that the silicon/chlorine concentration in Cl 2 plasma are also between that of the silicon etching in the Cl/Cl + and the Cl 2 /Cl 2 + beams. 1.2 Surface Layer Concentration Silicon Chlorine Vacancy Energy(eV) Figure 5.11 Model predicted dependence of normalized surface concentration of silicon (x_si), chlorine (x_cl) and Vacancy (x_v) on ion bombardment energy for silicon etching in Cl 2 plasma. The neutral to ion flux ratio in the model equals Angular Dependence of Silicon Etching in Chlorine Plasma The angular dependence of the silicon etching in the chlorine plasma is discussed in this section to demonstrate the capability of incorporating it in the model frame. Figure 5.12 shows the comparison of the model fitted angular dependence of the etching yield and the experimentally measured results. 43,81 The plasma modeled in the figure is the same as that in section with the ion bombardment energy of 300 ev and the neutral to ion flux ratio of 500. In the model, the angular dependence of the etching yield is a direct result of 267

268 the angular dependence of the physical sputtering, the vacancy generation yield, the densification yield, and the ion induced reaction. Among them, the physical sputtering, the densification yield and the vacancy generation yield all adopt the same angular dependence as calculated in equation (5.9). Usually the angular dependent function of the ion induced etching g ( θ) in equation (5.14) of the ion induced reaction must be experimentally fitted, since it may depend on the special chemistry of the reaction. For the silicon etching in the ( ) chlorine plasma, g ( θ) = exp 2.4 ( cosθ 1) was used to describe the angular dependence of the etching yield for a constant chlorination of the surface. With the angle, the chlorine and vacancy concentrations both vary yielding the angular dependent etching yields shown in Figure 5.12 along with the experimental data. Normalized Etching Yield Vitale et al Chang et al Model Off Normal Angle Figure 5.12 Comparison of the dependence of normalized etching yield on the off normal angle of incident ions. The solid line is the model prediction and the experimental data are taken from Vitale et al (diamond) and Chang et al (square). 268

269 The etching yield remains constant between 0 and 60º and then drops in the model because the angular dependence of the vacancy generation (and thus increased surface chlorination) and the decreasing the ion etching yield compensate each other below 60º. When the off-normal angle is above 60 o, both the ion induced reaction and the vacancy generation decrease, causing the etching yield to decrease with the angle. The extent of chlorination with the impingement angle has yet to be experimentally measured to support or refute this model. The above arguments suggest that the angular dependence of the etching yield is a function of the neutral to ion flux ratio rather than being independent as has been assumed in the past. When the neutral to ion flux ratio is small, the etching yields are low and are limited by the absorption; therefore, the yield curves should look more like the physical sputtering. Since the absorption sites are created by the dangling bonds, the etching yield can have a similar angular dependence as that of the vacancy generation, or similar to the physical sputtering. One may argue that the dependence is caused by the physical sputtering, however, the physical sputtering usually accounts for a very small portion of the total etching yield when the neutral to ion flux ratio is above 50. At very large neutral to ion flux ratios when the etching may be determined by the ion induced reaction, the etching yield has the same angular dependence as that of ion induced reaction, or cosine dependence as reported in a couple of literature Although a preliminary tests of the model support above hypothesis, further experiments are underway to support or refute the claim. The experiments of the angular 269

270 dependence of the etching yield at different neutral to ion flux ratio, and its comparison to the model prediction will be discussed in future reports Silicon Etching in Bromine Plasma As an example of expanding the model to other systems with more limited experimental data, the silicon etching in the bromine plasma is shown in the section. It was experimentally observed that the etching yield of silicon in Br 2 plasma is smaller than the silicon etching in the chlorine plasma with similar energy dependence. 81 However, less well characterized etching experiments were carried in the bromine related beams; and therefore, it is more difficult to determine the parameters in the same ways as that of the silicon etching in the chlorine related beams or plasma. The model of the silicon etching in the bromine plasma is exactly the same as the silicon etching in the chlorine plasma but changing all the chlorine to the bromine. The mixed layer is composed of silicon, bromine and vacancy species. The lumped reaction set includes the chemisorption of bromine atoms, the chemisorption of bromine molecules, the physical sputtering of silicon atoms and bromine atoms by the bromine ions, the vacancy generation by the bromine ions and the molecular bromine ions, the densification reaction, the ion induced reaction to form SiBr 2, the ion induced reaction to form Br 2, the vacancy annihilation/recombination reaction and the spontaneous reaction to form SiBr 4. The complete reaction set and related parameters are shown in Table

271 Table 5.2 List of Reactions in the model of silicon etching in bromine plasma # Reactions Reaction Yield Calculation Coef. Parameters A E th 1 Br + (g) Br(s) 2 Br + 2 (g) 2Br(s) 3 Si-V + Si-V Si-Si 4 Br-V + Br-V Br-Br 5 Br(g) Br(s) 7 Br 2 (g) 2Br(s) 8 Si(s) Si(g) (by Br + ) 9 Si(s) Si(g) (by Br + 2 ) 10 Br(s) Br(g) (by Br + ) 11 Br(s) Br(g) (by Br + 2 ) 13 V (s) (by Br + ) 14 V (s) (by Br + 2 ) 15 Si(s) + 2Br(s) SiBr 2 16 Br(s) + Br(s) Br 2 17 Si(s) + 4Br(g) SiBr 4 21 V NULL (by Br + ) 22 V NULL (by Br + 2 ) R = S G f Assumed 1.0 (a) a_ Br _ i Br _ i Br _ i R = S G f Assumed 1.0 (a) a_ Br _ i Br _ i Br _ i R R = β ( J ) 2 Si V Si V Si V = β ( J ) 2 Br V Br V Br V a_ Br _ on _ Si Br _ on _ Si Si v Br Fitted 2.0 Fitted 6.0 R = S J G Fitted 0.8 R = S J G Fitted 0.22 a_ Br _ on_ Si Br _ on_ Si Si V Br R = Y x G Calc s_ Si_ by_ Br Si_ by_ Br Si Br_ i R = Y x G Calc s_ Si_ by_ Br2 Si_ by_ Br2 Si Br2_ i R = Y x G Calc s_ Br_ by_ Br Br_ by_ Br Si Br_ i R = Y x G Calc s_ Br_ by_ Br2 Br_ by_ Br2 Si Br2_ i R R = β G Fitted V _ by _ Br _ i V _ by _ Br _ i Br _ i = β G Fitted V _ by _ Br2_ i V _ by _ Br2_ i Br 2_ i r = β 2 2 ( J ) E_ SiBr SiBr Si Br r E _ Br Br 2 2 Br Br 2 Fitted = β J Fitted rthermal = m GBr x Assumed 0 Si R = β X G Fitted d _ by _ Br _ i d _ by _ Br V Br _ i R = β X G Fitted d by Br i d by Br V Br i 2 _ 2 2_ (a) f is the threshold adjustment factor which is zero for ion energies below the sputtering threshold energy and one for greater energies. 271

272 The parameters in Table 5.2 were determined by the calculation, the assumption, the analogy to chlorine plasma, and the experimental fitting. The physical sputtering yield coefficients were calculated. The sticking coefficients of ions and thermal reaction coefficients are assumed. The vacancy annihilation coefficient was determined by the analogy to silicon etching in the chlorine plasma. The parameters S Br _ on _ Si, S Br2 _ on _ Si, β V _ by _ Br, β V _ by _ Br, β 2 d _ by _ Br, β d _ by _ Br, β 2 Si V, βcl V, β SiBr, and β 2 Br 2 were experimentally fitted, based on similar tendencies of the corresponding coefficients in the chlorine plasma. It is not a surprise to find that most parameters are smaller than the corresponding ones in the chlorine plasma since the bromine atoms are larger than the chlorine atoms and hence more difficult to absorb and react. Figure 5.13 shows the dependence of the etching yield on the square root of the ion bombardment energy for the silicon etching in the bromine plasma. 81 The neutral to ion flux ratio used in the model is 1000, similar to the measured value. The ion flux is composed of 50% bromine ions and 50% molecular bromine ions. 81 The neutral flux is composed of 10% bromine and 90% bromine molecules. A good fit to the experimental results is observed. 272

273 5 4 Br2 Plasma Experiments Br2 Plasma Model Etching Yield Square Root Energy Figure 5.13 Dependence of etching yield on the square root of energy for silicon etching in bromine plasma. The neutral to ion flux ratio is set to 1000, assuming that the saturation regime is reached. Further expansion of the model for the silicon etching in the HBr plasma, and then the plasma with Cl 2 /HBr mixture can be achieved following the same method. During the silicon etching in the Cl 2 /HBr plasma, as is the case for most industrial etching apparatus, the mixed layer should be composed of silicon, chlorine, bromine, hydrogen and dangling bonds. All the reactions with the determined parameters in Table 5.1 (except for argon related ones) and Table 5.2 should be included. Further more, the hydrogen related reaction products and other reaction products like SiClBr should also be included and these parameters should be determined by experimental fitting. The modeling of the plasma etching in these more complicated plasmas will be reported in the future. 273

274 The kinetic coefficients determined by this model are readily converted into the probabilities needed for the dynamic Monte Carlo 3-D profile simulators. Since the normalized concentration and the reaction yield calculation are all based on statistical probabilities, they can be directly used in the probability based Monte Carlo method. The detailed methods and results will be reported in future articles. 5.6 Conclusions In summary, this chapter discusses the new generic framework we developed to model the plasma surface kinetics of both the etching and the deposition processes for any plasma-surface interactions. The model is based on the translation of a mixed-layer at the substrate s surface which is mixed by ion bombardment during the plasma processing. When more material is removed than deposited, the layer translates into the substrate, causing etching to occur. When more material is added than removed, the layer deposits a layer between it and the substrate, causing deposition to occur. The kinetics of the etching and deposition are based on the assumption that the surface is well mixed by the ion bombardment; therefore, the number of any given moiety is computed as the corresponding nearest bonding neighbor probability, based on the elemental compositions of the layer. The ion induced etching and the sputter removal of surface species are readily modeled based upon the moiety concentrations. The vacancy in the mixed layer is treated as a species and it is used for calculation of the active sites for neutral absorption. The active site concentration can also be calculated based on the nearest bonding neighbor probability between the host atom species and the vacancy species. The balance between the generation of vacancies by ion bombardments 274

275 and the annihilation of vacancy by the neutral absorption, the densification and the dangling bond recombination guarantees their conservation. All the major etching characteristics can be explained using the generic modeling approach. The dependence of the etching yield on the neutral to ion flux ratio of the silicon etching in the Cl/Cl + beam experiment and the Cl/Ar + beam experiment were explained by the model. The unsaturated regime and the saturated regime were corresponding to absorption limiting and ion induced reaction limiting, respectively. The dependence of the + etching yield on the ion bombardment energy during the silicon etching in the Cl 2 /Cl 2 beam experiment was fitted to determine the parameters related to Cl 2. All the determined parameters were then used in the model to predict the etching yield of silicon in the chlorine plasma and a close match between the model prediction and the experimental results was found. Furthermore, the model of silicon etching in the bromine plasma was constructed as an example of the expansion of the framework to the situation with limited dataset. For the first time, the angular dependence of the etching yield was explained in the model as a result of the competition between the angular dependence of the vacancy generation and the angular dependence of the ion induced reactions. The ion induced reaction may have a cosine type of angular dependence and the vacancy generation may have an angular dependence similar to that of the sputtering yield. The competition of these two changes may result in the observed angular dependence. This modeling approach has the advantage of being extremely fast in the development and the application while being accurately in capturing all the major etching behaviors. 275

276 Furthermore, the kinetic coefficients determined by this model are readily converted into the probabilities needed for the dynamic Monte Carlo 3-D profile simulators. 276

277 Chapter 6 Conclusions 6.1 Conclusions This thesis studied the properties of a plasma formed in a Transformer-Coupled Toroidal Plasma (TCTP) source. Furthermore, we studied the kinetics and limitations of species production by the remote TCTP source and also constructed a generic model of plasma-surface interactions. In this work, we measured for the first time the neutral gas temperature of a high power density plasma in a toroidal geometry such as that in the TCTP. The neutral gas temperature of an argon plasma was found to be around 2000K for a power density of 5 W/cm 3, by fitting the rovibrational bands of diatomic molecules like N 2 to obtain its rotational temperature. Assuming that, due to the high discharge pressure and rapid energy exchange by two-body collision, the rotational and translational modes are in equilibrium, the Ar neutral temperature is the same as the rotational tempertuare of the N 2 added in it. The perturbation of the Ar plasma was found to be significant when the added N 2 flow rate was more than 1%. Therefore, less than 1% N 2 should be added as the trace gas in the argon plasma for the neutral gas temperature measurements. The fitted temperature was found to depend neither on the optical resolution nor on the althernative selection of one single band or a band series, as would be expected. This thesis also reported the first application of the Swan bands of C 2 molecule 3 3 ( d Π a Π ) in determining the neutral gas temperature of the fluorocarbon plasma. g u The neutral gas temperature measurements using C 2 molecules were validated by comparing the measurements to other temperature measurements by using the rotational 277

278 spectra of N 2 added to the discharge. Sufficient C 2 molecules were found in a discharge formed from mixtures of C 2 F 6, O 2 and Ar at high power densities (15W/cm 3 ) to allow temperature measurements. The high plasma pressure was sufficient to equilibrate the rotational modes of the excited states of C 2 and the translational modes of both the N 2 and C 2 neutral gases. The characterization of the argon plasmas formed in a gas mixture of 2000 sccm argon at the pressure of 2 torr and the power density of about 5.5 W/cm 3 found the electron temperature, the electron density and the neutral gas temperature to be about 2 ev, 6.0µ10 12 cm -3, and 1700K, respectively. Experimentally, the spatial profile of the neutral gas temperature was found to be parabolic across the plasma toroidal cross-section with the maximum near the center of the toroidal loop rather than at the mid-point of the crosssection. This could be explained by the higher electrical field, and thus higher heating rate near the center of the toroidal loop. The neutral gas temperature seemed to have a logarithmic dependence on the source pressure, similar to other observations in the literature. We constructed a simplified global plasma model which included the heating calculation of the electron gas by a uniform electric field, the loss of energy from the gas to determine the electron temperature, ion and electron losses at surfaces, and formation and loss of species by the electron impact and surface recombination. A good match of the pressure dependence of the electron temperature was found between the model prediction and the experimental results. The model further predicted that the neutral gas temperature and electron density dramatically increase with the plasma power, while the electron temperature changed little. 278

279 A NF 3 plasma, formed with a gas mixture of 667 sccm NF 3 and 2000 sccm Ar at the power density of 10W/cm 3 and at the pressure of 2 torr had a neutral gas temperature, an electron temperature and an atomic fluorine concentration of about 2700K, 1.7 ev and 3µ10 15 cm -3, respectively. A global plasma model with 51 plasma phase species, 272 plasma-phase reactions and 21 plasma-surface reactions was formulated. The experimentally measured and the model predicted dissociation percentages of NF 3 were both found to be around 97%, among which 80% formed N 2 and F atoms and the rest formed some other species. The atomic fluorine concentration was found to linearly increase with the plasma source pressure, in agreement with both experiments and modeling. The model also predicted that the dissociation of forming fluorine atoms increased with pressure and saturated at 4 torr. This is consistent with the observation that the etching rate of the oxide film, at constant process chamber pressure, increased with the plasma source pressure until about 4 torr and then stayed constant. The model predicted that the molar fraction of fluorine increases in direct relation to the NF 3 flow rate in the gas mixture of NF 3 and argon. This relationship explained the dependence of the etching rate on the NF 3 flow rate in the process chamber with the pressure fixed and the etching rate in the non-saturated regime. The translational/rotational neutral gas temperature of the fluorocarbon plasma was measured to be above 5000K and a linear dependence between the temperature and the plasma power was observed. The high neutral gas temperature was justified by the power balance calculation. The linear dependence of the neutral gas temperature on the plasma power was probably caused by convection nand the heat conduction to the the chamber wall, both of which exhibited a linear relationsip of power loss on the gas temperature. The 279

280 dependence of the neutral gas temperature on the plasma source pressure, and the dependence of the neutral gas temperature on the oxygen flow rate were believed to be a reflection of the linear dependence of the temperature on the plasma power. A power balance calculation found that for the 7kW power entering the plasma with 667 sccm C 2 F 6, 1333 sccm O 2 and 2000 sccm Ar at the pressure of 3 torr, the power used for the dissociation was about 2.1 kw; the power taken away by the convection was about 1.0kW; the power dissipation due to the ion creation and loss to the chamber wall was about 2.1 kw; and the power conducted to the chamber wall by the neutrals was about 1.7 kw. An Arrhenius-like dependence of the etching rate on the neutral gas temperature was observed, which suggests the importance of the pyrolytic dissociation in the plasma. Plasma-surface interactions using the TCTP remote plasma source were studied by measuring the etching rates as a function of species concentrations, and by analyzing the correlation between these measurements as well as the time responses of the system to changes. Five topics related to plasma-surface interactions were studied in this work. For the first time, the formation of COF 2 was shown to cause the etching rate of oxide films in remote fluorocarbon plasmas to be about one half of that in the nitrogen trifluoride plasma for the same atomic fluorine content of feed gas flow. The addition of 3% N 2 in perfluorocarbon, oxygen and Ar mixtures was found to increase the etching rate of SiO 2 and Si, to the point where the rates were equivalent to that of NF 3. The nitrogen surface treatment was shown to block the surface recombination to form COF 2 and favoring the formation of CO 2, thereby increasing the fraction of atomic fluorine available for processing. The surface mechanism for these phenomena was confirmed by the long system relaxation time from the high etching rate state to the lower etching rate state after 280

281 N 2 flow has been terminated, approximately 5 orders of magnitude longer than the residence time of any gas within the system. Due to their comparable etching rates, low global warming gas emissions and clean surfaces after processing, the fluorocarbon gases were shown to be suitable to replace the nitrogen trifluoride for the remote plasma cleaning of the chamber. A study of the dependence of the etching rate of silicon dioxide on the operation parameters determined that the dependence of the etching rate on the oxygen percentage is related to the COF 2 formation. It was also found that the etching rate of oxide film increases with the plasma power when the dissociation is incomplete, and the etching rate saturates with more power input after the complete dissociation. Finally, an Arrehnius dependence of the etching rate on the wafer temperature was observed. The dependence of the etching rate of the silicon dioxide on the partial pressure of fluorine atoms was observed to saturate for the first time. Two regimes were observed in the dependence of the etching rate of oxide on the total flow rate of NF 3 : 1) the linear regime in which the etching rate varied linearly with NF 3 flow rate (and thus the partial pressure of F atoms), and 2) the saturation regime in which the etching rate was independent of the atomic fluorine partial pressure. In the saturation regime, the extent of F adsorption on the surface approaches its saturation limit and the etching rate is limited by the surface reaction of the adsorbed F with the surface. In the linear regime, the etching rate is primarily limited by the extent of surface F adsorption. Assuming that the extent of F adsorption is in equilibrium with the partial pressure of F in the gas, the etching rate is proportional to the partial pressure of F in the gas phase. 281

282 The effects of additive gases on the etching rates of the silicon dioxide film and the silicon nitride film were found to be very different. The impurities in NF 3 gases were typically found to increase the power consumption and the neutral gas temperature of the plasmas, but not to change the etching rate of the silicon dioxide. However, the addition of O 2 in NF 3 /Ar and the addition of N 2 in C 2 F 6 /O 2 /Ar or SF 6 /O 2 /Ar were found to dramatically increase the etching rate of the silicon nitride. The enhancement may be caused by some mechanisms other than NO assistance, which requires further investigation. We constructed a new generic framework to model the plasma surface kinetics of both the etching and the deposition processes for any plasma-surface interactions. The model is based on the translation of a mixed-layer at the substrate s surface, which is mixed by ion bombardment during the plasma processing. When more material is removed than deposited, the layer translates into the substrate, causing etching to occur. When more material is added than removed, the layer deposits another layer between it and the substrate, causing deposition to occur. The kinetics of the etching and deposition are based on the assumption that the surface is well mixed by the ion bombardment; therefore, the number of any given moiety is computed by the corresponding nearest bonding neighbor probability, based on the elemental compositions of the layer. Based on the moiety concentrations, the ion induced etching and the sputter removal of surface species are readily modeled. The vacancy in the mixed layer is treated as a species, and used for calculation of the active sites for neutral absorption. The active site concentration can also be calculated based on the nearest bonding neighbor probability between the host atom species and the vacancy species. The conservation of vacancies is guaranteed by the balance between the 282

283 generation of vacancies by ion bombardment and the annihilation of vacancies by the neutral absorption and the densification as well as the dangling bond recombination. All the major etching characteristics can be explained by using the generic modeling approach. The dependence of the etching yield on the neutral to ion flux ratio of the silicon etching in the Cl/Cl + beam experiment and the Cl/Ar + beam experiment was explained by the model. The unsaturated and saturated regimes corresponded to absorption limiting and ion induced reaction limiting, respectively. The reaction parameters in the model were calibrated by the experimentally observed dependence of the etching yield on the ion bombardment energy and the neutral to ion flux ratio during the silicon etching in the Cl/Cl +, Cl/Ar + + and Cl 2 /Cl 2 beam experiments. The same set of parameters was then used to predict the etching yield of silicon in the chlorine plasma, and a close match between the model prediction and the experimental results was found. For the first time, the angular dependence of the etching yield was explained in the model as a result of the competition between the angular dependence of the vacancy generation and the angular dependence of the ion induced reactions. The ion induced reaction may have a cosine type of angular dependence and the vacancy generation may have an angular dependence similar to that of the sputtering yield. The competition of these two changes may result in the observed angular dependence. 6.2 Recommendation for Future Work The etching rate of silicon nitride was found to increase significantly under the following two conditions: 1) the addition of a small amount of O 2 in the NF 3 plasma; 2) the addition of N-containing gases in the fluorocarbon plasma. The reason for this significant increase of the etching rate needs further investigation. As discussed in section 4.6, the 283

284 conventional belief that NO molecules cause the dramatic enhancement of the etching rate does not match our experimental observations. A modeling of the fluorocarbon plasmas should be set up to better explain the experimental phenomenon. The challenge of the modeling is to collect a robust set of reaction parameters. The surface kinetics model discussed in Chapter 5 should be applied to the case of the silicon dioxide etching/deposition in fluorocarbon plasmas and to the photo resist film etching in both the halogen plasma and the fluorocarbon plasma. The surface kinetics of the silicon dioxide and the photo resist in the fluorocarbon plasma are very complicated and so far there is no satisfactory model. Initial trial usage of the translating mixed model in the silicon dioxide etching was found to be successful and further study in the modeling should be carried out. 284

285 References J. Bardeen and W. H. Brattain, Physical Review 74, (1948). W. H. Brattain and J. Bardeen, Physical Review 74, (1948). J. D. Plummer, M. Deal, and P. B. Griffin, Silicon VLSI technology : fundamentals, practice and modeling James D. Plummer, Michael Deal, Peter B. Griffin (Prentice Hall, Upper Saddle River, NJ, 2000). 5 W. M. Moreau, Semiconductor lithography : principles, practices, and materials (Plenum Press, New York, 1988). 6 7 S. Rasgon, Ph.D. Thesis, MIT, M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and materials processing (Wiley, New York, 1994). 8 D. M. Manos and D. L. Flamm, Plasma etching : an introduction (Academic Press, Boston, 1989). 9 J. D. Lawson, The physics of charged-particle beams, 2nd ed. (Clarendon Press ;Oxford University Press, Oxford England New York, 1988). 10 A. Anders, Handbook of plasma immersion ion implantation and deposition (Wiley, New York, 2000) [Anon], Micro 21, (2003). R. L. Brainard, J. Cobb, and C. A. Cutler, Journal of Photopolymer Science and Technology 16, (2003). 285

286 13 A. H. Leung, D. A. Tichenor, W. C. Replogle, J. E. M. Goldsmith, G. D. Kubiak, R. H. Stulen, W. P. Ballard, K. L. Jefferson, L. E. Klebanoff, D. J. O'Connell, J. B. Wronosky, J. S. Taylor, J. A. Folta, L. C. Hale, H. N. Chapman, D. W. Sweeney, S. H. Lee, D. T. Attwood, K. A. Goldberg, and P. Naulleau, Journal of Photopolymer Science and Technology 15, (2002). 14 P. P. Tsai, L. C. Wadsworth, and J. R. Roth, Textile Research Journal 67, (1997) P. P. Y. Tsai, G. W. Qin, and L. C. Wadsworth, Tappi Journal 81, (1998). F. D. Egitto and L. J. Matienzo, Ibm Journal of Research and Development 38, (1994). 17 J. R. Roth, Industrial plasma engineering (Institute of Physics Pub., Bristol Philadelphia, 1995). 18 X. W. Yang, G. R. Nowling, M. Morawej, S. E. Babayan, R. F. Hicks, J. Y. Park, and H. W. Herrmann, Abstracts of Papers of the American Chemical Society 222, U31-U31 (2001). 19 V. J. Tu, J. Y. Jeong, A. Schutze, S. E. Babayan, G. Ding, G. S. Selwyn, and R. F. Hicks, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 18, (2000) U. Kogelschatz, Plasma Sources Science & Technology 11, A1-A6 (2002). H. H. Sawin, PLASMA PROCESSING:REACTIVE ION ETCHING, PECVD, ASHING, SPUTTERING, PLASMA CHEMISTRY, DISCHARGEPHYSICS, AND SURFACE INTERACTIONS (Unpublished, 2001). 286

287 22 C. Allgood, M. Mocella, H. Y. Chae, and H. Sawin, Journal of the Electrochemical Society 150, G122-G126 (2003). 23 C. C. Allgood, Abstracts of Papers of the American Chemical Society 224, U548- U548 (2002). 24 L. Pruette, S. Karecki, R. Reif, W. Entley, J. Langan, V. Hazari, and C. Hines, Electrochemical and Solid State Letters 2, (1999). 25 X. Li, X. F. Hua, L. Ling, G. S. Oehrlein, E. Karwacki, and B. Ji, Journal of Vacuum Science & Technology A 22, (2004). 26 S. B. Kim, H. Seo, Y. Kim, H. Jeon, J. Song, H. Soh, and Y. C. Kim, Journal of the Korean Physical Society 41, (2002). 27 D. L. Flamm, V. M. Donnelly, and J. A. Mucha, Journal of Applied Physics 52, (1981). 28 J. W. Coburn and H. F. Winters, Journal of Vacuum Science & Technology 16, (1979) J. W. Coburn and H. F. Winters, Journal of Applied Physics 50, (1979). W. D. Jin, Ph.D. Thesis, MIT, K. Wong, D. S. Boning, H. H. Sawin, S. W. Butler, and E. M. Sachs, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 15, (1997). 32 S. B. Kim, H. Seo, J. Song, Y. Kim, H. Soh, Y. C. Kim, and H. Jeon, Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers 42, (2003). 287

288 33 A. V. Vasenkov, X. Li, G. S. Oehrlein, and M. J. Kushner, Journal of Vacuum Science & Technology A 22, (2004). 34 X. Li, X. F. Hua, L. Ling, G. S. Oehrlein, M. Barela, and H. M. Anderson, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 20, (2002). 35 G. S. Oehrlein, Y. Zhang, G. M. W. Kroesen, E. Defresart, and T. D. Bestwick, Applied Physics Letters 58, (1991). 36 T. E. F. M. Standaert, C. Hedlund, E. A. Joseph, G. S. Oehrlein, and T. J. Dalton, Journal of Vacuum Science & Technology A 22, (2004). 37 J. M. E. Harper, J. J. Cuomo, P. A. Leary, G. M. Summa, H. R. Kaufman, and F. J. Bresnock, Journal of the Electrochemical Society 128, (1981) P. C. Zalm, Vacuum 36, (1986). J. W. Butterbaugh, D. C. Gray, and H. H. Sawin, Journal of Vacuum Science & Technology B 9, (1991). 40 D. C. Gray, H. H. Sawin, and J. W. Butterbaugh, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 9, (1991) D. C. Gray, Ph. D Thesis Thesis, MIT, J. P. Chang, J. C. Arnold, G. C. H. Zau, H. S. Shin, and H. H. Sawin, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 15, (1997). 43 J. P. Chang, A. P. Mahorowala, and H. H. Sawin, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 16, (1998). 288

289 44 E. Gogolides, P. Vauvert, A. Rhallabi, and G. Turban, Microelectronic Engineering 42, (1998). 45 K. Karahashi, K. Yanai, K. Ishikawa, H. Tsuboi, K. Kurihara, and M. Nakamura, Journal of Vacuum Science & Technology A 22, (2004). 46 H. Toyoda, H. Morishima, R. Fukute, Y. Hori, I. Murakami, and H. Sugai, Journal of Applied Physics 95, (2004). 47 H. Reichardt, A. Frenzel, and K. Schober, Microelectronic Engineering 56, (2001). 48 B. E. E. Kastenmeier, G. S. Oehrlein, J. G. Langan, and W. R. Entley, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 18, (2000). 49 P. J. Matsuo, B. E. E. Kastenmeier, G. S. Oehrlein, and J. G. Langan, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 17, (1999). 50 J. J. Beulens, B. E. E. Kastenmeier, P. J. Matsuo, and G. S. Oehrlein, Applied Physics Letters 66, (1995). 51 H. Seo, S. B. Kim, J. Song, Y. Kim, H. Soh, Y. C. Kim, and H. Jeon, Journal of Vacuum Science & Technology B 20, (2002). 52 X. Chen, W. Holber, P. Loomis, E. Sevillano, and S. Q. Shao, in Semiconductor Magazine; Vol. 4 (2003). 53 ASTEX, ASTEX is Applied Science and Technology which is a division of MKS Instruments. 289

290 54 D. Theirich, K. P. Ningel, and J. Engemann, Surface & Coatings Technology 86-7, (1996). 55 D. G. Castner, P. Favia, and B. D. Ratner, Abstracts of Papers of the American Chemical Society 209, 165-Poly (1995). 56 K. P. Ningel, D. Theirich, and J. Engemann, Surface & Coatings Technology 98, (1998). 57 S. C. Brown, Introduction to electrical discharges in gases (Wiley, New York,, 1966). 58 R. Suchentrunk, G. Staudigl, D. Jonke, and H. J. Fuesser, Surface & Coatings Technology 97, 1-9 (1997). 59 D. B. Graves and M. J. Kushner, Journal of Vacuum Science & Technology A 21, S152-S156 (2003). 60 J. P. Chang and J. W. Coburn, Journal of Vacuum Science & Technology A 21, S145-S151 (2003) D. Zhang and M. J. Kushner, Journal of Applied Physics 87, (2000). A. Sankaran and M. J. Kushner, Applied Physics Letters 82, (2003). W. D. Jin, S. A. Vitale, and H. H. Sawin, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 20, (2002). 64 W. D. Jin and H. H. Sawin, Journal of Vacuum Science & Technology A 21, (2003) J. W. Coburn, Plasma Chemistry and Plasma Processing 2, 1 (1982). M. J. Kushner, Journal of Applied Physics 53, (1982). M. J. Kushner, Journal of Applied Physics 62, (1987). 290

291 68 69 M. J. Kushner, Journal of Applied Physics 63, (1988). R. J. Hoekstra, M. J. Grapperhaus, and M. J. Kushner, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 15, (1997). 70 M. E. Barone and D. B. Graves, Plasma Sources Science & Technology 5, (1996) C. F. Abrams and D. B. Graves, Journal of Applied Physics 86, (1999). D. Humbird, D. B. Graves, X. F. Hua, and G. S. Oehrlein, Applied Physics Letters 84, (2004) D. Humbird and D. B. Graves, Journal of Applied Physics 96, (2004). M. E. Barone, T. O. Robinson, and D. B. Graves, Ieee Transactions on Plasma Science 24, (1996) M. E. Barone and D. B. Graves, Journal of Applied Physics 78, (1995). E. Gogolides, P. Vauvert, Y. Courtin, G. Kokkoris, R. Pelle, A. Boudouvis, and G. Turban, Microelectronic Engineering 46, (1999). 77 E. Gogolides, P. Vauvert, G. Kokkoris, G. Turban, and A. G. Boudouvis, Journal of Applied Physics 88, (2000). 78 B. Kim, D. W. Kim, and G. T. Park, Ieee Transactions on Plasma Science 31, (2003) B. W. Kim and K. H. Kim, Applied Surface Science 222, (2004). T. Itoh, Ion beam assisted film growth (Elsevier, Amsterdam New York, 1989). S. A. Vitale, H. Chae, and H. H. Sawin, Journal of Vacuum Science & Technology a-an International Journal Devoted to Vacuum Surfaces and Films 19, (2001). 291

292 82 J. H. Min, G. R. Lee, J. K. Lee, S. H. Moon, and C. K. Kim, Journal of Vacuum Science & Technology A 22, (2004). 83 C. Hedlund, L. B. Jonsson, I. V. Katardjiev, S. Berg, and H. O. Blom, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 15, (1997). 84 C. Hedlund, C. Strandman, I. V. Katardjiev, Y. Backlund, S. Berg, and H. O. Blom, Journal of Vacuum Science & Technology B 14, (1996). 85 B. O. Cho, S. W. Hwang, G. R. Lee, and S. H. Moon, Journal of Vacuum Science & Technology A 18, (2000). 86 S. A. Vitale, H. Chae, and H. H. Sawin, Journal of Vacuum Science & Technology A 18, (2000) Varian Vacuum Products Catalog (2003). A. H. Turnbull, R. S. Barton, and J. C. Rivière, An introduction to vacuum technique, by A.H. Turnbull, R.S. Barton and J.C. Rivière (Wiley, New York,, 1963) O. Kwon, Ph.D. Thesis, MIT, MIDAC, FTIR Data Library. I. H. Hutchinson, Principles of plasma diagnostics, 2nd ed. (Cambridge University Press, Cambridge New York, 2002). 92 C. R. Brundle, C. A. Evans, and S. Wilson, Encyclopedia of materials characterization : surfaces, interfaces, thin films (Butterworth-Heinemann ; Manning, Boston Greenwich, CT, 1992). 93 T. Mehdi, P. B. Legrand, J. P. Dauchot, M. Wautelet, and M. Hecq, Spectrochimica Acta Part B-Atomic Spectroscopy 48, (1993). 292

293 94 95 D. A. O. Hope, T. I. Cox, and V. G. I. Deshmukh, Vacuum 37, (1987). K. L. Junck and W. D. Getty, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 12, (1994). 96 M. J. Schabel, V. M. Donnelly, A. Kornblit, and W. W. Tai, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 20, (2002). 97 N. C. M. Fuller, V. M. Donnelly, and I. P. Herman, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 20, (2002). 98 V. M. Donnelly, M. V. Malyshev, M. Schabel, A. Kornblit, W. Tai, I. P. Herman, and N. C. M. Fuller, Plasma Sources Science & Technology 11, A26-A30 (2002). 99 V. M. Donnelly and M. J. Schabel, Journal of Applied Physics 91, (2002). 100 M. V. Malyshev and V. M. Donnelly, Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films 15, (1997). 101 S. Tsurubuchi, T. Miyazaki, and K. Motohashi, Journal of Physics B-Atomic Molecular and Optical Physics 29, (1996). 102 G. G. Raju, Ieee Transactions on Dielectrics and Electrical Insulation 11, (2004). 103 J. E. Chilton, J. B. Boffard, R. S. Schappe, and C. C. Lin, Physical Review A 57, (1998) J. K. Ballou, C. C. Lin, and F. E. Fajen, Physical Review A 8, (1973). J. B. Boffard, C. C. Lin, and C. A. DeJoseph, Journal of Physics D-Applied Physics 37, R143-R161 (2004). 106 J. W. Coburn and M. Chen, Journal of Applied Physics 51, (1980). 293

294 107 V. M. Donnelly, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 14, (1996). 108 J. S. Jenq, J. Ding, J. W. Taylor, and N. Hershkowiz, Plasma Scources Science Technology 3, (1994). 109 Y. Kawai, K. Sasaki, and K. Kadota, Japanese Journal of Applied Physics Part 2- Letters 36, L1261-L1264 (1997). 110 A. Granier, D. Chereau, K. Henda, R. Safari, and P. Leprince, Journal of Applied Physics 75, (1994). 111 V. M. Donnelly and M. V. Malyshev, Applied Physics Letters 77, (2000). 112 D. B. Hash, D. Bose, M. V. V. S. Rao, B. A. Cruden, M. Meyyappan, and S. P. Sharma, Journal of Applied Physics 90, (2001). 113 H. Singh, J. W. Coburn, and D. B. Graves, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 19, (2001). 114 S. A. Vitale and H. H. Sawin, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 18, (2000). 115 X. D. Xu, S. Rauf, and M. J. Kushner, Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films 18, (2000). 116 A. C. Eckbreth, Laser diagnostics for combustion temperature and species, 2nd ed. (Gordon and Breach Publishers, Amsterdam, The Netherlands, 1996). 117 I. P. Herman, Optical diagnostics for thin film processing (Academic Press, San Diego, CA, 1996). 118 O. Auciello and D. L. Flamm, Plasma diagnostics (Academic Press, Boston, 1989). 294

295 119 B. A. Cruden, M. V. V. S. Rao, S. P. Sharma, and M. Meyyappan, Journal of Applied Physics 91, (2002) G. P. Davis and R. A. Gottscho, Journal of Applied Physics 54, (1983). R. A. Porter and W. R. Harshbarger, Journal of the Electrochemical Society 126, (1979) D. M. Phillips, Journal of Physics D-Applied Physics 9, (1976). E. J. Tonnis and D. B. Graves, Journal of Vacuum Science & Technology a- Vacuum Surfaces and Films 20, (2002). 124 G. Herzberg and K.-P. Huber, Molecular spectra and molecular structure (Van Nostrand, Princeton, N.J., New York,, 1945). 125 J. Wormhoudt, A. C. Stanton, A. D. Richards, and H. H. Sawin, Journal of Applied Physics 61, (1987). 126 P. Jamroz and W. Zyrnicki, European Physical Journal-Applied Physics 19, (2002). 127 N. K. Bibinov, A. A. Fateev, and K. Wiesemann, Plasma Sources Science & Technology 10, (2001). 128 E. M. Vanveldhuizen, T. Bisschops, E. J. W. Vanvliembergen, and J. H. M. C. Vanwolput, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 3, (1985). 129 J. L. Cooper and J. C. Whitehead, Journal of the Chemical Society-Faraday Transactions 89, (1993) W. Benesch and J. Vanderslice, Astrophysical Journal 142, 1227 (1965). G. Buttenbender and G. Herzberg, Annalen der Physik., 593 (1934). 295

296 132 F. Roux, F. Michaud, and M. Vervloet, Journal of Molecular Spectroscopy 158, (1993). 133 I. Kovács, Rotational structure in the spectra of diatomic molecules (American Elsevier Pub. Co., New York,, 1969) A. Budo, Z.Phys. 105, (1937). E. Hill and J. H. VAn Vleck, Physical Review 32 (1928). G. Buttenbender and G. Herzberg, Ann.Phys.,Lpz. 21, (1935). C. V. V. Prasad and P. F. Bernath, Astrophysical Journal 426, (1994). Danylewy.Ll and R. W. Nicholls, Proceedings of the Royal Society of London Series a-mathematical Physical and Engineering Sciences 339, 197-& (1974). 139 B. A. Cruden, M. V. V. S. Rao, S. P. Sharma, and M. Meyyappan, Applied Physics Letters 81, (2002) E. J. Tonnis, Ph.D. Thesis Thesis, University of California, Berkeley, B. Bai and H. Sawin, Journal of Vacuum Science & Technology A 22, (2004). 142 A. Palmero, J. Cotrino, A. Barranco, and A. R. Gonzalez-Elipe, Physics of Plasmas 9, (2002). 143 F. Gosse, N. Sadeghi, and J. C. Pebay-Peyroula, Chemical Physics Letters 13, (1972). 144 S. Leach and M. Velghe, Journal of Quantitative Spectroscopy & Radiative Transfer 16, (1976) L. Curtis, B. Engman, and P. Erman, Physica Scripta 13, (1976). K. Tachibana, Physical Review A 34, (1986). 296

297 147 E. Meeks, R. S. Larson, S. R. Vosen, and J. W. Shon, Journal of the Electrochemical Society 144, (1997) E. Meeks and P. Ho, Thin Solid Films 365, (2000). E. Meeks and J. W. Shon, Ieee Transactions on Plasma Science 23, (1995). K. Iskenderova, Ph.D. Thesis, Drextel University, NASA, ( Chemical Equilibrium Dataset). NASA, NASA Chemical Equilibrium Dataset. W. M. Deen, Analysis of transport phenomena (Oxford University Press, New York, 1998). 154 A. D. Richards, B. E. Thompson, and H. H. Sawin, Applied Physics Letters 50, (1987). 155 M. V. Malyshev and V. M. Donnelly, Journal of Applied Physics 87, (2000). 156 S. R. Vosen, E. Meeks, R. S. Larson, and J. W. Shon, Journal of the Electrochemical Society 144, (1997). 157 X. D. Xu, S. Rauf, and M. J. Kushner, Journal of Vacuum Science & Technology A 18, (2000). 158 L. G. Christophorou and J. K. Olthoff, Journal of Physical and Chemical Reference Data 27, 1-29 (1998). 159 J. R. Hollahan and A. T. Bell, Techniques and applications of plasma chemistry (Wiley, New York,, 1974). 160 D. R. Burgess, M. R. Zachariah, W. Tsang, and P. R. Westmoreland, Progress in Energy and Combustion Science 21, (1995). 297

298 161 A. Tasaka, H. Kobayashi, M. Negami, M. Hori, T. Osada, K. Nagasaki, T. Ozaki, H. Nakayama, and K. Katamura, Journal of the Electrochemical Society 144, (1997). 162 B. E. E. Kastenmeier, P. J. Matsuo, G. S. Oehrlein, and J. G. Langan, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 16, (1998). 163 B. E. E. Kastenmeier, P. J. Matsuo, and G. S. Oehrlein, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 17, (1999). 164 B. E. E. Kastenmeier, P. J. Matsuo, G. S. Oehrlein, R. E. Ellefson, and L. C. Frees, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 19, (2001). 165 A. R. Desouza, C. M. Mahlmann, J. L. Muzart, and C. V. Speller, Journal of Physics D-Applied Physics 26, (1993). 166 J. W. Coburn and M. Chen, Journal of Vacuum Science & Technology 18, (1981). 167 C. H. Oh, N. E. Lee, J. H. Kim, G. Y. Yeom, S. S. Yoon, and T. K. Kwon, Thin Solid Films 435, (2003). 168 B. E. E. Kastenmeier, P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 14, (1996). 169 J. Harnisch, D. de Jager, J. Gale, and O. Stobbe, Environmental Science and Pollution Research 9, (2002). 298

299 170 M. A. K. Khalil, R. A. Rasmussen, J. A. Culbertson, J. M. Prins, E. P. Grimsrud, and M. J. Shearer, Environmental Science & Technology 37, (2003). 171 P. P. Bera, L. Horny, and H. F. Schaefer, Journal of the American Chemical Society 126, (2004) International Technology Roadmap for Semiconductors, 248 (1999 Edition). M. G. Blain, T. L. Meisenheimer, and J. E. Stevens, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 14, (1996). 175 M. G. Blain, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 17, (1999). 176 C. H. Oh, N. E. Lee, J. H. Kim, G. Y. Yeom, S. S. Yoon, and T. K. Kwon, Surface & Coatings Technology 171, (2003). 177 A. Misra, J. Sees, L. Hall, R. A. Levy, V. B. Zaitsev, K. Aryusook, C. Ravindranath, V. Sigal, S. Kesari, and D. Rufin, Materials Letters 34, (1998). 178 N. Layadi, V. M. Donnelly, J. T. C. Lee, and F. P. Klemens, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 15, (1997). 179 N. Layadi, V. M. Donnelly, and J. T. C. Lee, Journal of Applied Physics 81, (1997). 180 M. Schaepkens, G. S. Oehrlein, C. Hedlund, L. B. Jonsson, and H. O. Blom, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 16, (1998). 299

300 181 M. Schaepkens, N. R. Rueger, J. J. Beulens, X. Li, T. E. F. M. Standaert, P. J. Matsuo, and G. S. Oehrlein, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 17, (1999). 182 D. Zhang and M. J. Kushner, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 19, (2001). 183 N. R. Rueger, M. F. Doemling, M. Schaepkens, J. J. Beulens, T. E. F. M. Standaert, and G. S. Oehrlein, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 17, (1999). 184 M. Merz, R. Durner, B. Heinz, and P. Ziemann, Nuclear Instruments & Methods in Physics Research Section B-Beam Interactions with Materials and Atoms 166, (2000). 185 J. Ye, S. Ulrich, K. Sell, H. Leiste, M. Stuber, and H. Holleck, Surface & Coatings Technology 174, (2003). 186 E. Bertran, E. Martinez, G. Viera, J. Farjas, and P. Roura, Diamond and Related Materials 10, (2001). 187 A. S. Bakai, A. I. Zhukov, and S. N. Sleptsov, Journal of Physics-Condensed Matter 11, (1999). 188 F. R. Mcfeely, J. A. Yarmoff, A. Talebibrahimi, and D. B. Beach, Surface Science 206, (1988) O. Kwon, B. Bai, and H. H. Sawin, To be Published (2005). D. C. Gray, I. Tepermeister, and H. H. Sawin, Journal of Vacuum Science & Technology B 11, (1993). 191 W. Jacob, Thin Solid Films 326, 1-42 (1998). 300

301 192 J. P. Chang and H. H. Sawin, Journal of Vacuum Science & Technology B 19, (2001). 193 R. Behrisch and H. H. Andersen, Sputtering by particle bombardment (Springer- Verlag, Berlin New York, 1981) P. Sigmund, Physical Review 184, 383 (1969). C. Steinbruchel, Applied Physics Letters 55, (1989). C. Steinbruchel, Materials Science and Technology 8, (1992). K. Wittmaack, Physical Review B 68, - (2003). J. Bohdansky, J. Roth, and H. L. Bay, Journal of Applied Physics 51, (1980). 199 H. L. Bay, J. Roth, and J. Bohdansky, Journal of Applied Physics 48, (1977). 200 N. Matsunami, Y. Yamamura, Y. Itikawa, N. Itoh, Y. Kazumata, S. Miyagawa, K. Morita, and R. Shimizu, Radiation Effects Letters 57, (1980) P. C. Zalm, Journal of Applied Physics 54, (1983). Z. H. Walker and E. A. Ogryzlo, Journal of Applied Physics 69, (1991). Z. H. Walker and E. A. Ogryzlo, Journal of the Chemical Society-Faraday Transactions 87, (1991) Z. H. Walker and E. A. Ogryzlo, Chemical Physics 153, (1991). M. Balooch, M. Moalem, W. E. Wang, and A. V. Hamza, Journal of Vacuum Science & Technology a-vacuum Surfaces and Films 14, (1996). 301

302 Appendix A. Design Drawings 302

303 303

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Transistor and Integrated Circuits: History

Transistor and Integrated Circuits: History Course Objective Review and practice fundamental chemical engineering concepts (mass, energy, and momentum transport coupled with heterogeneous and homogeneous reactions and thermodynamics). Apply these

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor JFETs AND MESFETs Introduction Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor Why would an FET made of a planar capacitor with two metal plates, as

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part - Circuits Dr.. J. Wassell Gates from Transistors ntroduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits The

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency. Light We can use different terms to describe light: Color Wavelength Frequency Light is composed of electromagnetic waves that travel through some medium. The properties of the medium determine how light

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Microelectronics Part 1: Main CMOS circuits design rules

Microelectronics Part 1: Main CMOS circuits design rules GBM8320 Dispositifs Médicaux telligents Microelectronics Part 1: Main CMOS circuits design rules Mohamad Sawan et al. Laboratoire de neurotechnologies Polystim! http://www.cours.polymtl.ca/gbm8320/! med-amine.miled@polymtl.ca!

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Extensive reading materials on reserve, including

Extensive reading materials on reserve, including Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals EE143 Ali Javey Bond Model of Electrons and Holes Si Si Si Si Si Si Si

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Industrial Applications of Plasma

Industrial Applications of Plasma Industrial Applications of Plasma Features that make plasma useful for industrial applications: Ø It is characterized by a wide range of energy And/or power densities (thermal plasmas in DC arcs, high

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 12 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits FINAL EXAMINATION Open book. Notes: 1. Unless

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Supporting information

Supporting information Supporting information Design, Modeling and Fabrication of CVD Grown MoS 2 Circuits with E-Mode FETs for Large-Area Electronics Lili Yu 1*, Dina El-Damak 1*, Ujwal Radhakrishna 1, Xi Ling 1, Ahmad Zubair

More information

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft ELEN0037 Microelectronic IC Design Prof. Dr. Michael Kraft Lecture 2: Technological Aspects Technology Passive components Active components CMOS Process Basic Layout Scaling CMOS Technology Integrated

More information

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS D. Shane Stafford and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL 61801 http://uigelz.ece.uiuc.edu

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information