Industrial Applications of Plasma

Size: px
Start display at page:

Download "Industrial Applications of Plasma"

Transcription

1 Industrial Applications of Plasma Features that make plasma useful for industrial applications: Ø It is characterized by a wide range of energy And/or power densities (thermal plasmas in DC arcs, high frequencies and RF inductive plasma torch with power density W/cm 3, corona and luminescence discharge with 10-4 to 1 W/cm 3 ). Ø It produces large numbers of active species of several types, with higher energy than those produced in conventional chemical reactors. 1 Industrial Applications of Plasma Technological Processes: High power density processes: Fusion or evaporation of solid materials Welding Arc furnaces Processing at high temperature of materials Processes with a large number of active species of multiple types and at high energies: Surface treatment of materials Plasma chemistry 2 1

2 Plasma Reactors for Surface Treatments Typical Parameters: Power density: ü Corona discharges: P ~ 10-3 W/cm 3 ü Glow discharges : P ~ W/cm 3 ü Arc discharge: P ~ W/cm 3 Frequency: cc or RF (~ 10 MHz) Voltages: 0.01 kv in corona d., 5-20 kv s. glow d. Gases: air at atmospheric pressure and other gases (production of ozone, NO x, N, A, He) Exposure time: ms - min. Temperature: within reaction ranges. 3 Active Species Photons ü Infrared: ε < 1.6 ev low effectiveness ü Visible: 1.6 < ε < 3.3 ev - break chemical bonds, excite; ü UV: 3.3 < ε < 95 ev - break long molecules of hydrocarbons, ionize and excite). Neutrals - Free radicals (high energy particles chemically active - atoms: O, H, F, Cl, etc., monomers and molecular fragments: CH 2, etc.. They can produce a considerable amount of chemical reactions and energy processes). Charge particles (electrons, positive ions, and negative ions that can be accelerated by EM fields): electrons and ions accelerated by he electric field (electrons transmit momentum and energy much less than ions. 4 2

3 Main plasma surface processes ü Cleaning, de-grease, and change of surface characteristics (hydro absorbance and hydro repellence, surface electrical conductivity, cohesion and adhesion, etc.) ü Treatment of solids with ion implantation (doping, enhancement of the mechanical strength) ü Deposition of thin layers by means of plasma (microelectronics and more) ü Plasma etching for micro-electronics 5 Change of surface energy The molecules of a liquid attract each other isotropic ally in all directions. Those on a surface are just attracted toward the directions occupied by liquid. The forces due to surface tension make the liquid contract which tends to the spherical shape typical of the drop. The surface tension γ is defined as a force per unit of length that keeps the surface of the liquid flat: γ [Newton/m; dine/cm] or [Joule/m 2 ] 6 3

4 Liquid on a solid surface γ LV : surface tension between liquid and steam γ SL : surface tension between solid and liquid γ SV : surface tension between solid and steam θ: contact angle γ SV - γ SL = γ LV cosθ Ø (γ SV - γ SL ) > 0: hydro absorbent surface (wettable); Ø (γ SV - γ SL ) < 0: hydro repellent surface (waterproof). θ = 0 : The adhesion and the cohesion forces are equal; θ > 90 : the material is hydro repellent. 7 Hydro Absorbance (Wettable) and Hydro Repellence (Waterproof) Waterproof material: - Hydrophobic - Large contact angles (θ> 90 ) - Low surface energ. (< N/m) Wettable material: - Hydrophilic - Small contact angles (θ < 20 ) - High surface energ. (> N/m) 4

5 Hydro Absorbance (Wettable material) Ø To increase the hydro absorbance of a material the surface tension must be remodulated (increase surface tension between solid and steam by increasing the surface energy). Ø In order to do this, dirt, coated films or monolayer must be removed. 9 Plasma Cleaning (Surface plasma cleaning) Ø De-grease: a few hundred mono-layers of gas, present on above surface, adhere to the surface. The layers closest to the surface are bounded by energies of about 4-5 ev. It is very difficult to remove such layers chemically or by heating, requiring temperatures above the melting temperatures of the material. Instead, small quantities of energy are required only directed to superficial layers. This is thus achieved by means of collisions with high energy particles. Ø Removal of thin layers of hydrocarbons and oils can be achieved by means of low pressure oxygen plasmas; 10 5

6 Plasma Sterilization Sanification Survival curve for 50,000 micro-organisms exposed to a discharge in air at 1 atm. 11 Plasma Etching for micro-electronics In 1947 J. Bardeen and W.H. Brattain (Bell Lab.) invented the transistor (A). A In 1958, the first chip, micro-electronic solid state device that contains several circuit elements,, was realized by J. St. Clair Kilby (B, B '). In 1961 the first completely monolithic chip (C) was produced. The realization of a multiple component monolithic circuit (integrated circuit), has allowed to reduce size of electronic element. This has led to the rapid progress of Electrical Technology. B B 12 C 6

7 Characteristic Dimensions in Micro- Electronics Dimensioni Elemento Microns, µ Amstrongs, Å Polvere Batterio Strato per circuito microelettronico Dimensione di un circuito con grande configurazione (regole di progetto) Dimensione di un circuito con piccola configurazione (regole di progetto) Lunghezza d onda del visibile Monostrato <111> di Silicio Diametro dell atomo dell Argon Diametro dell atomo dell Ossigeno The design dimension or design rule (δ) is the typical size of the smallest active electronic element (in the case of figure: δ ~ 0.5 µm). 13 Development of Technology Chip production has been firstly based on etching by meas of chemical baths (wet chemical etching). In the early 1970s in the industry the etching of silicon made by means of plasmas of RF low pressure discharges (dry plasma etching.) When in the early 80s the size of the component reached 2 µm the plasma etching almost completely replaced the chemical etching. 14 7

8 Active Species Plasma Etching Etching on a SiO 2 surface using a beam of argon ions at 450 ev and a current of 2.5 µa on a surface of 0.1 cm 2 in a bi-fluoride of Xenon (XeF 2 ). 15 Chemical Etching and Plasma Etching Etching in Chemical Baths : The etching is obtained isotropically: the dimension of the layer of material removed horizontally beneath the mask is the same of that as vertically removed. Therefore, the minimum horizontal dimensions of the mask must be at least twice the size of the layer to be removed. Dry Plasma Engraving: Anisotropic etching obtained by plasma techniques (RF glow discharge within CF 4 inert gas at p < 1 torr). CF 4 is decomposed into CF 3 and F that attack the silicon producing SiF 4 volatile. This attack is anisotropic and selective (only the layer that is intended to be engraved is chemically attached and not the mask and the substrate below the mask. 16 8

9 Plasma Etching Technology Plasma etching uses relatively inert molecular gas (carbon tetrafluoride CF 4 ). This gas interacts with the plasma of an RF glow discharge to produce active species able to react chemically with the layer to be etched. The CF 4 molecule is dissociated in F and CF 3, both chemically highly reactive with silicon. Reaction products (SiF 4 ) must be volatile so they can leave the etched layer and be pumped away from the vacuum system. CF 4 neutral gas does not chemically react with silicon and does not attack the side walls of the etched channel. Interaction is facilitated by the collisions with energy carrying particles (ions, electrons and photons) and promoters of surface reactions. This mechanism guarantees directional etching. 17 Advantages and Disadvantages of Plasma Etching Chemical baths based etching has produced fluid deposits from the highly toxic chemical reactions required. Only in Silicon Valley there are more than 150 toxic waste sites from chemical etching. The remediation of an IBM site (San Jose's underground deposit) is estimated to cost more than $ 100,000,000. Ø Advantages of plasma etching: It is highly directional with large precisions. It is a clean process with very low waste production. It requires very low chemical consumption. It has as limiting factor for the technology the mask realization and not the etching technology. Ø Disadvantages of plasma etching: Requires vacuum systems made using chemically resistant materials. For dimensions of the order of 1 µ, pressures of 0.1 to 1 torr are required. For dimensions below 1 µ, the pressure must be below 10 mtorr. Therefore, a sophisticated vacuum technology is required. 18 9

10 Anisotropic Etching Etching catalysed by plasmas (plasma etching): Plasma is used to produce ions or other active particles (electrons, photons). No ion bundle of an external source is used. Plasma ions are accelerated by the sheath voltage of 10 to 100 volts at energies of ev. The ion energy must not exceed 50 ev to avoid damaging the surface. Etching catalysed by electronic beams: An electron beam at 1500 ev for I = 45 µa catalyses reactions between XeF 2 and SiO 2 gas, etching the silicon oxide (etching time rate ~ 200 Å/min.). There is no etching without XeF 2 within the electronic beam. Etching catalysed by a bundle of photons: A bundle of photons produced by a laser can produce etching. There is not much difference between etching catalysed by ions, electrons or a laser beam. 19 Surface bombardment Interactions with active energy particles involve more states of matter and give rise to the following processes: Secondary Electronic Emission: The bombardment of a surface with active plasma species can lead to electron emission; Sputtering: ions or neutrals hit atoms or surface molecules with detaching of them from the solid surface; Erosion: a massive sputtering removes a consistent layer of the surface; Plasma-cleaning due to plasma-surface interaction: layers to the surface are removed for bombardment with active species

11 Plasma Sputtering Sputtering consists in the release of atoms and surface molecules due to ion bombardment (the cumulative effect of sputtering, which leads to the removal of a thick surface layer, determines surface erosion). Electrons, due to their small mass, and neutrals, as they are not sufficiently energetic, do not produce sputtering for industrial applications. For sputtering, energetic ions are used, accelerated by high electric fields. Sputtering coefficient or sputtering yield is defined by γ = Emitted number of atoms and molecules Number of incident particles γ depends on E i, A i, Z i (energy, atomic weight and atomic number) of the incident particle, incidence angle, atomic weight of the surface particle, its crystalline nature. 21 Plasma Sputtering Typical dependence behaviour of the sputtering yield on the kinetic energy of the incident ion. Sputtering yield as a function of the kinetic energy of hydrogen, deuterium, helium, and nickel ions on nickel surface

12 Erosion The cumulative effect of sputtering, leading to the removal of a consistent surface layer, results in erosion. Industrial process erosion is made with ions. Sputtering flux density: Γ s = γ Γ i = γ J i /e [released atoms/(m 2 s)] Erosion speed: v ε = Γ s /n w = γ Γ i /n w = γ J i /(en w ) [m/s ] (n w wall particle density) Time needed for the erosion of a thickness L: T = L/(3600 v ε ) [ore] 23 Ion implantation Ion implantation consists of bombarding solid surfaces with sufficiently energetic ions ( kev) which penetrate the structure and stop several atomic layers beneath the surface. Some ions run along channelled pathways through the crystalline solid structure (usually channelled trajectories have to be avoided). Most ions are scattered immediately below with the surface and go inside the material along non-channel trajectories. Usually it is necessary to avoid the sputtering material or at least the released material to be less than the implanted one

13 Ion implantation Channelled pathways 25 Ion implantation Methods to avoid channelled pathways 26 13

14 Ion implantation Crystalline lattice The dimensions of the channel are indicated by the dotted line. The figure also shows the characteristic dimensions of some ions. 27 Ion implantation Applications Ø Ø Ø Ø Ø Doping of semiconductor in micro-electronics (first wide application); Increase in hardness of metals; Increase wear resistance (metals and ceramics in the aerospace industry and in the medical industry); Increased corrosion resistance; Changes in surface electrical and optical properties Dipartimento Department of Electrical, di Ingegneria Electronic, dell Energia and Information Elettrica Engineering e dell Informazione (DEI) - University of Bologna - DEI 28 14

15 Ion implantation Characteristics Dose: / cm 2 inhibits corrosion; / cm 2 increases the hardness and wearresistance. Ion Energy: kev Depth of implantation: µ inhibits corrosion; - 1 µ increases the hardness and the wear resistance. Fig Ø The carbon and nitrogen implantations increase corrosion resistance, hardness and wear. For the wear it would require 2 µ implantation depth that is obtained with energies of about 1 MeV (difficult to get). Indeed, ev are sufficient to provide implantation depths of about 0.1 µm as the ions migrate toward intimate layers during wear. Thin Film Deposition Applications Ø Glass reworking to vary the reflection. Ø For ornamental and adhesion characteristics in food plastic. Ø For the layered processes in multilayer microelectronic circuits

16 Thin Film Deposition Micro-electronics Compliant recoat: It is obtained by transport after deposition and the diffusion by means the surface tension forces. Unidirectional Coating: It is obtained by means of a ion beam. Isotropic coating: It is obtained with a gas deposition: tan θ = w/h 31 Thin Film Deposition Micro-electronics Electronic microscope pictures of a deposit executed by a plasma with SiO x (a) and ) SiN x (b), the first at 200 C, the second at 330 C. In (c) a theoretical result is shown

17 Integrated circuit schematic Layer Structure Fig CMS circuit schematic Fig Section diagram of a CMS circuit showing two layers connected by means of the "Via" junction

18 5 layer circuit schematic The design dimension (or project rule) d is the typical size of the smallest active electronic element. Current technology is characterized by a minimum dimension of 0.10 µm and integrated circuits with at least 5-7 layers. Fig Electron microscope scan (SEM) of a five-layer metal circuit (M1-M5) with tungsten junctions between the layers (W1-W3). 35 Multilayer circuit schematic 36 18

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Ionization Techniques Part IV

Ionization Techniques Part IV Ionization Techniques Part IV CU- Boulder CHEM 5181 Mass Spectrometry & Chromatography Presented by Prof. Jose L. Jimenez High Vacuum MS Interpretation Lectures Sample Inlet Ion Source Mass Analyzer Detector

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Cold Gas Plasma Treatment For Re-engineering Films by Stephen L. Kaplan, 4th State, Inc.

Cold Gas Plasma Treatment For Re-engineering Films by Stephen L. Kaplan, 4th State, Inc. Cold Gas Plasma Treatment For Re-engineering Films by Stephen L. Kaplan, 4th State, Inc. With cold gas plasma treatment you may not have to trade one critical quality for another when treating your film

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Accelerated Neutral Atom Beam (ANAB)

Accelerated Neutral Atom Beam (ANAB) Accelerated Neutral Atom Beam (ANAB) Development and Commercialization July 2015 1 Technological Progression Sometimes it is necessary to develop a completely new tool or enabling technology to meet future

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

object objective lens eyepiece lens

object objective lens eyepiece lens Advancing Physics G495 June 2015 SET #1 ANSWERS Field and Particle Pictures Seeing with electrons The compound optical microscope Q1. Before attempting this question it may be helpful to review ray diagram

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Nordson MARCH Concord, CA, USA

Nordson MARCH Concord, CA, USA Overcoming the Challenges Presented with Automated Selective Conformal Coating of Advanced Electronic Assemblies by Employing Plasma Treatment Technology David Foote Nordson MARCH Concord, CA, USA david.foote@nordsonmarch.com

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

Stepwise Solution Important Instructions to examiners:

Stepwise Solution Important Instructions to examiners: (ISO/IEC - 700-005 Certified) SUMMER 05 EXAMINATION Subject Code: 70 Model Answer (Applied Science- Physics) Page No: 0/6 Que. No. Sub. Que. Important Instructions to examiners: ) The answers should be

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Sputter Ion Pump (Ion Pump) By Biswajit

Sputter Ion Pump (Ion Pump) By Biswajit Sputter Ion Pump (Ion Pump) By Biswajit 08-07-17 Sputter Ion Pump (Ion Pump) An ion pump is a type of vacuum pump capable of reaching pressures as low as 10 11 mbar under ideal conditions. An ion pump

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Ion Implanter Cyclotron Apparatus System

Ion Implanter Cyclotron Apparatus System Ion Implanter Cyclotron Apparatus System A. Latuszyñski, K. Pyszniak, A. DroŸdziel, D. M¹czka Institute of Physics, Maria Curie-Sk³odowska University, Lublin, Poland Abstract In this paper the authors

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not bond But when excited/ionized these atoms attract Bound

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

1 Introduction to Plasmas

1 Introduction to Plasmas 1 1 Introduction to Plasmas Hideo Ikegami 1.1 Plasmas In physics and engineering, the word plasma means electrically conductive ionized gas media composed of neutral gases, ions, and electrons. Words like

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

White Paper Adhesives Sealants Tapes

White Paper Adhesives Sealants Tapes Fundamental principles of UV reactive manufacturing processes Introduction While the UV systems technology and the appropriate chemistry have been developing continuously, the principle of irradiation

More information

Fluxless Soldering in Activated Hydrogen Atmosphere

Fluxless Soldering in Activated Hydrogen Atmosphere Fluxless Soldering in Activated Hydrogen Atmosphere C. Christine Dong1*, Richard E. Patrick1, Russell A. Siminski 1, and Tim Bao 2 1 Air Products and Chemicals, Allentown, PA 18195-1501, USA 2 Air Products

More information

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Bound together separated by short distance Call this Excited

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Revision Guide for Chapter 14

Revision Guide for Chapter 14 Revision Guide for Chapter 14 Contents Revision Checklist Revision Notes Values of the energy kt...4 The Boltzmann factor...4 Thermal activation processes...5 Summary Diagrams Climbing a ladder by chance...7

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Plasma Surface Modification for Cleaning and Adhesion Ron Nickerson, Vice President AST Products, Inc. Billerica, MA

Plasma Surface Modification for Cleaning and Adhesion Ron Nickerson, Vice President AST Products, Inc. Billerica, MA Plasma Surface Modification for Cleaning and Adhesion Ron Nickerson, Vice President AST Products, Inc. Billerica, MA. 01821 978-663-7652 When manufacturers scramble to find processes of surface cleaning

More information

NANOSTRUCTURED CARBON THIN FILMS DEPOSITION USING THERMIONIC VACUUM ARC (TVA) TECHNOLOGY

NANOSTRUCTURED CARBON THIN FILMS DEPOSITION USING THERMIONIC VACUUM ARC (TVA) TECHNOLOGY Journal of Optoelectronics and Advanced Materials Vol. 5, No. 3, September 2003, p. 667-673 NANOSTRUCTURED CARBON THIN FILMS DEPOSITION USING THERMIONIC VACUUM ARC (TVA) TECHNOLOGY G. Musa, I. Mustata,

More information

Photocatalysis: semiconductor physics

Photocatalysis: semiconductor physics Photocatalysis: semiconductor physics Carlos J. Tavares Center of Physics, University of Minho, Portugal ctavares@fisica.uminho.pt www.fisica.uminho.pt 1 Guimarães Where do I come from? 3 Guimarães 4 Introduction>>

More information

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Plasma based modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Plasma based modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans What is a plasma? A plasma is a ionized quasineutral gas! + electron electrons Neon bottle Ne atom Ne ion: Ne +

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust

In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust FT/P1-19 In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust T. Hino 1), H. Yoshida 1), M. Akiba 2), S. Suzuki 2), Y. Hirohata 1) and Y. Yamauchi 1) 1) Laboratory of Plasma

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

Deposition of polymeric thin films by PVD process. Hachet Dorian 09/03/2016

Deposition of polymeric thin films by PVD process. Hachet Dorian 09/03/2016 Deposition of polymeric thin films by PVD process Hachet Dorian 09/03/2016 Polymeric Thin Films nowadays The evaporation of polymers Ionization-Assisted Method Vacuum deposition 0,055eV/molecule at 1000

More information

EMISSION SPECTROSCOPY

EMISSION SPECTROSCOPY IFM The Department of Physics, Chemistry and Biology LAB 57 EMISSION SPECTROSCOPY NAME PERSONAL NUMBER DATE APPROVED I. OBJECTIVES - Understand the principle of atomic emission spectra. - Know how to acquire

More information

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS

SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS SCALING OF PLASMA SOURCES FOR O 2 ( 1 ) GENERATION FOR CHEMICAL OXYGEN-IODINE LASERS D. Shane Stafford and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL 61801 http://uigelz.ece.uiuc.edu

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information