T process step in modern device fabrication, especially for

Size: px
Start display at page:

Download "T process step in modern device fabrication, especially for"

Transcription

1 218 EEE TRANSACTONS ON ELECTRON DkVCPS VOL 38 NO 2 FFBRUARY 991 Low-Temperature Annealing of ArsenidPhosphoms Junctions Mark E. Law, Member, EEE, and James R. Pfiester, Senior Member, EEE Abstract-The formation of arsenic and phosphorus junctions is an important process step in modern device fabrication. The accurate prediction of the vertical and lateral profile is crucial for optimization of the device behavior and reliability. Experimental data show that the damage from implantation of the dopant species has an important and controlling effect on the final profile during low-temperature annealing. Modeling of the dopant and point defect interaction during this anneal indicates that the junction is determined by the number of point defects created during the implantation. This model is compared to experimental data and good agreement is shown for both one- and twodimensional results.. NTRODUCTON HE codiffusion of arsenic and phosphorus is an important T process step in modern device fabrication, especially for lightly doped drain and double-diffused drain MOSFET devices. Because the short-channel MOSFET characteristics are improved with shallow source and drain junctions, the tendency has been to reduce the time and temperature after implantation to form the shallow junctions. With short-time annealing steps, the enhancement in dopant diffusion due to transient effects becomes more pronounced. t is important, therefore, that these transient effects be understood and accurately modeled. Several investigators [ 11, [2] have discussed the enhancement of boron during short-time annealing and have attributed this effect to residual damage from the implantation step. Miyake [3] proposed a model for enhanced boron annealing based on an exponentially decaying enhancement to the diffusivity where D is the total diffusivity, Ddam is the damage-enhanced diffusivity, T is the decay time of the enhancement, which is on the order of a few seconds at 900 C, and D* is the equilibrium diffusivity of the dopant in question. Similarly, Fair 141 proposed an empirical model for annealing of boron at low temperatures which included the effect of implantation damage. This was implemented in the PREDCT [4] program which was compared to experimental data. The model assumes a constant enhancement for a short time which then reverts to the equilibrium diffisivity, as given by D = Ddam, for t < rl, D = D*, fort > ro. (2) Manuscript received January 26, 1990; revised August 20, The review of this paper was arranged by Associate Editor S. E. Laux. M. E. Law is with the ntegrated Electronics Center, Department of Electrical Engineering, University of Florida, Gainesville, FL J. R. Pfiester is with the Advanced Products Research and Development Laboratory, Motorola nc., Austin, TX EEE Log Number The time constant T,, is on the order of several seconds. Good correlation between the extracted times of the Fair model with the transient decay length of Miyake was obtained. The damage-enhanced diffusivity was typically a factor of 25 greater than equilibrium diffusivity. Fair also included the effect of the amount of damage on the amount of enhancement. Although these models are predictive for one-dimensional simulation, they are empirically based and, hence, difficult to extend to multiple dimensions. The only extension is an isotropic enhancement term, but it is unclear whether this is physical. OED exhibits a much faster lateral reduction than vertical, especially for heavily doped structures [5]. Therefore, considerable experimental work must be performed before the isotropic extension can be considered valid. n this paper, a model for damage enhancement is proposed that is suitable for twodimensional simulation. Calibration is performed by using onedimensional experimental work on both boron and arsenic/ phosphorus junctions. Two-dimensional calculations are performed and compared to experimental device data. 11. MODEL FOR DAMAGE ENHANCEMENT mpurity diffusion in silicon occurs through interaction of impurities with point defects, vacancies, and interstitials. To correctly predict the diffusion of impurities, it is necessary to calculate the point-defect concentrations. The properties of the point defects, therefore, are important to understanding any diffusion phenomena. Mathiot and Pfister (61 derived the equations for defects and impurities by assuming that impurities diffuse only through interaction with point defects. The equations below are for a single-donor impurity; assuming that the donor diffuses only when paired with a defect. (3) C refers to the concentration of the subscripted species, J is the flux, and K,. is the bulk recombination constant. The subscripts A,, V, A, AV refer to the donors, interstitials, vacancies, interstitial-donor pairs, and vacancy-donor pairs. The superscript * refers to the equilibrium concentration of the defect, which is a function of the Fermi level and temperature. The defect concentrations are the total concentration of defects including all charge states that are present. This also applies for the defect-donor pairs. Equations (3)-(3, therefore, are valid %01.00 U 1991 EEE

2 ~ LAW AND PFESTER: LOW-TEMPERATURE ANNEALNG OF ARSENCPHOSPHORUS JUNCTONS 219 for any charge states that may exist for either the pairs or the defects. This general expression describes the flux of a given donor impurity provided several assumptions hold. The first assumption is that the donor impurity diffuses only when it is associated with a defect. The second assumption is that the pairs and individual species are in local equilibrium with each other. The last assumption requires that the chemical reactions forming pairs is fast compared to the other processes, and that transients in the formation of these pairs can be neglected. t is difficult to establish the accuracy of the latter assumption except by comparison to large annealing time experiments to determine if the transient is required. Mulvaney [7] has recently performed calculations that assume that the local equilibrium between pairs does not hold. Although this approach does not have to make any assumptions in the derivation of the equations, it does have two drawbacks. 'The first drawback is the necessity of estimating the reaction rates, both forward and reverse, for the chemical reactions involved in the system between the dopants and pairs. For a donor atom that diffuses via a vacancy mechanism in three different charge states, there are a minimum of six different reaction rates to be estimated. The second drawback is that separate differential equations must be solved for each species. n the above example, seven different partial differential equations must be solved numerically. Although tractable in one dimension, the extension to two dimensions would require extensive CPU calculation time. The Mulvaney model will reduce to the model of local equilibrium when the rate constants are very fast. t is interesting, therefore, to see how far the assumption of local equilibrium can be extended in modeling modern technology. The fluxes in (3)-(5) can be treated by analyzing the components of the flux in the different defect charge states. Giles [8] has recently treated the fluxes in a system of equations similar to these. Although previous researchers have included the effect of the field on the charge states of the dopant defect pairs, the effect of the electric field on the defects has been neglected. Both Giles [8] and Law [9] have demonstrated that it is important to include the effect of field on the charged defects. The interstitial donor pair flux JAl can be derived as follows: ni + log - + log - n This can be further simplified since the log n/n, terms cancel and K, is independent of space [lo]. n fact, all pair fluxes can be reduced in a similar fashion. The total pair flux can then be written as -JA = c D,cCApV log CAO. (12) c The term in the summation can be associated with the diffusivity of the dopant interstitial pairs and is dependent on the concentration of pairs and the Fermi level. Giles has modeled the total pair flux in relation to the fundamental variables CA and C, [8]. By computing the balance of the various reactions, he was able to derive complex formulas for the concentration of the pairs. f the assumption that the electronic charging processes are faster than any other reaction is made first, than all possible reactions can be simplified in formation of the pairs. For example, in forming a neutral donor interstitial pair, the most likely reaction would involve a positive donor and negative interstitial. Because electronic charging is assumed instantaneous, the negative interstitial concentration can be simplified using the neutral interstitial concentration and the electron concentration. The total number of pairs can then be written where K is a function only of temperature. This allows substitution into (12) to simplify the flux of the pairs. n addition, the temperature-dependent constants are combined and the diffusivity is referenced to the diffusivity found when the interstitials are at equilibrium where A' refers to the donor interstitial pairs in the c charge state, D is the diffusivity, and the summation is over all the charge states of the donor interstitial pairs. The summation can be simplified if several assumptions about the reactions are made. f the electric processes are assumed to be the fastest, then each charge state concentration can be written in terms of the neutral pair concentration CA+ = K+ nl CA,,, (7) n CA,- = K- CAp ni The flux equation (6) can be further simplified by using the pair relationships in (7) and (8). f we rewrite (6) This diffusion equation for donors is similar to those that have been used in many process simulation programs [ 111, [ 121. One difference, however, is the inclusion of the gradient of the interstitial concentration. Although neglecting this term is suitable for modeling of oxidation injection of interstitials, it is not accurate for the modeling of damage effects on dopant diffusivity. n the case of damage, the defect concentrations will be varying rapidly over several orders of magnitude. The vacancy-impurity fluxes JAv, vacancy flux Jv, and the interstitial flux J, can be derived in a similar fashion. Again, it is important to remember the charge states of the defects for performing this derivation. For example, only the final result for the interstitial flux is given The neutral pair flux can then be written

3 * 280 EEE TRANSACTONS ON ELECTRON DEVCES. VOL. 38, NO. 2. FEBRUARY 1991 The vacancy flux can be written in a similar fashion as grow 225 A oxide C Jv = DvCCV 2. 0 LV KeVP 5-10 BOKeVAs No Anneal 30m 900 C This model can be applied in a straightforward fashion to predict damage enhancement if the concentration of defects created during the implantation is known and the sources and sinks for defects during diffusion are understood and well modeled. The approach taken in this work is to treat the damage created by the implantation as an initial condition of point defects. Although the parameters for the defect equations can be extracted from various gettering [ 131, [ 141 and oxidation [ 151, [16] studies, it is difficult to determine the parameters without examining all the experimental evidence. The parameters for the defects used in this study were extracted from experimental data and included interstitial traps [15], a finite bulk recombination [17], surface effects on both types of defects [17], [18], and defect charge states [8], [19]. n modeling implantation damage effects, it is necessary to estimate the number of defects created from an implant. Hobler and Selberherr [20], using Monte Carlo techniques, estimated the amount of damage from an implant and characterized it using Gaussian profiles, in which the damage is proportional to the dose of the implant. Since this technique does not consider any annealing of defects during the implantation itself, the total damage profile is overestimated. All of the implant-created damage is assumed to be stable-point defects as opposed to extended defects created during implantation, which can be sinks and sources of point defects. Extended defects, including endof-range dislocation loops, are not included in the calculations. End-of-range dislocations will be present [21] under the types of annealing performed in these experiments, but the effect of these is assumed to be small in the simulations and modeling ONE-DMENSONAL EXPERMENTS Kim [22] investigated the effect of implantation damage on one-dimensional structures using high-dose arsenic and phosphorus implants. These implants were annealed for varying lengths of time and very little difference in the dopant profile was noticed as a function of time. Kim attributed this to a shorttime enhancement of the diffusion due to annealing of the damage created during the implantation step. These experiments suggest that lightly doped drain structures will also be influenced by implantation damage. To investigate the effect of damage on the formation of these structures, an experiment [23] was designed to utilize implants and conditions found in normal device fabrication. By reversing the order of the heavy and lightly doped implantation steps, the effect of the damage created by the dopant implants was studied. The experimental flow chart is described in Fig. 1. The two main splits in the experiment include arsenic nf implantation both before and after the phosphorus n- implantation. All the thermal anneals were performed at 900 C in a nitrogen ambient. The anneals were set up such that the phosphorus layer received three different anneal times in each of the main splits. Since the phosphorus n- junction depth is typically deeper than the arsenic nt junction in a lightly doped drain structure, this allows comparison of junction depths to be made between main splits. The right side (wafers 4, 5, and 6) of the flow chart has experiments in which the arsenic is implanted first to form the heavily doped layer. This layer is given a short anneal time of m 900 C No Anneal 30m 900T 60m 900T Fig. 1. Flow chart of the process schedule for the experimental stmctures. Labeled arrows indicate specific splits referred to in the text. 10 min at 900 C which should anneal the point defects created by the implantation. End-of-range dislocations are not removed by this annealing step [21]. The phosphorus implant for the lightly doped layer is then done followed by a split of three different anneal times. A final anneal of 30 min at 900 C completes the sequence. Because the arsenic nf implant is implanted first and followed by a thermal anneal prior to the phosphorus n- implantation, there should be little enhancement of the phosphorus diffusivity. Parrillo [24] has demonstrated an LDD device formed by reversing the implantation order of the AND SMULATONS ni and n- layers which demonstrates reduced phosphorus n- source/drain lateral diffusion. Fig. 2 shows the spreading resistance measurement of wafers 4, 5, and 6, which have the arsenic n+ implant prior to the phosphorus n- implantation for various thermal anneal times. Also shown are simulations based on default diffusivities in which the defect concentrations depend only on the local Fermi level. As shown in Fig. 2, the phosphorus diffusivity must be enhanced compared to default values whereas the arsenic diffusivity is approximately correct. Also the data show no time dependence in the profiles despite the varying thermal anneal times. This indicates that the enhancement does not depend strongly on the thermal anneal time and, therefore, must occur over a short period of time. This type of enhancement is consistent with a damage effect, which would result in an extremely short-time enhancement to the diffusivity of the dopant. f this enhancement is large, the remaining anneal time will do little to change the shape of the final profile. The left side of the flow chart (wafers 1, 2, and 3) receive the lightly doped phosphorus n- implant prior to the arsenic n+ implantation. The wafers are then split again and given three different heat treatments. The high-dose arsenic nt implant follows which creates a large amount of damage in the substrate. A final anneal finishes the sequence. f the damage from the arsenic layer creates a significant enhancement in diffusion, the phosphorus will form a deeper junction. The implant sequence in this split is the conventional order for forming LDD MOS- FET's. n contrast to the other split involving wafers 4, 5, and

4 1 ~ Wafer LAW AND PFESTER: LOW-TEMPERATURE ANNEALNG OF ARSENCPHOSPHORUS JUNCTONS 28 1 N+ before N 4-30m 900 C Wafer 5-60m 900 C % Wafer 6-90m 900 C Default Model Since implantation creates both vacancies and interstitials in equal numbers, the effect of bulk recombination on the defects grows as the square of the amount of damage. Assuming the primary component in the interstitial equation is the bulk recombination and the interstitial and vacancy concentrations are equal Depth in um Fig. 2. Result of spreading resistance on wafers 4, 5, and 6, as well as default diffusivity simulations. Wafers 4, 5, and 6 had the arsenic n' layer implanted before the n- phosphorus layer. m ' N Before N+ Wafer 1 30m 900 C 6 **q% Wafer 2 60m 900 C - m c W - g z m Wafer 3 90m 900 C Default Model Depth in gm Fig 3. Result of spreading resistance on water\ 1, 2, and 3, as well a\ default diffusivity simulations Waters, 2, and 3 had the phosphorus n layer implanted before the ni arsenic layer 6, the phosphorus should diffuse much deeper due to the enhancement from the arsenic implantation damage. Fig. 3 shows the spreading resistance profile for wafers, 2, and 3, which have the phosphorus n- implant prior to the arsenic n+ implantation. The simulations based on default diffusivity values are also shown. Although there is an apparent phosphorus-diffusivity enhancement, these wafers show a dependence on the processing sequence. Wafer l, which does not receive an anneal before the arsenic is implanted, shows less enhancement than do wafers 2 and 3. Wafer 1 receives the phosphorus implant, the arsenic implant, and then the final 30-min anneal. This wafer experiences only one anneal following an implantation. Wafers 2 and 3 receive anneals following both implant steps, and experience enhanced diffusion during both temperature cycles, which result in deeper junctions compares to wafer. There are two important observations to notice in the spreading resistance meausrements. First, the profiles are much deeper than predicted by the accepted diffusivity values. n a pointdefect-based model, there must be a substantial excess of defects to enhance the diffusivity enough to form the deeper junction. Second, the profiles show little dependence on the anneal time. This means that the enhancement to the diffusivity has to have a lifetime much shorter than the shortest anneal time. Most of the diffusion occurs in a short period after the anneal begins. A damage-enhancement model is consistent with these observations since the damage created from the implant can be a sizable fraction of the lattice density. This provides a huge excess of defects to enhance diffusion, which will diffuse and recombine quickly which reduces both the temporal extent of the enhancement and the magnitude of the time-averaged value. ac, G -K,C?. at The solution to this equation has the form 1 c, K,t + l/c,(o)' An estimate for K, may be obtained from ORD data [17] at 1100 C with a value of 2.6 x cm6/s. f C,(t = 0) is lo2' ~ m - the ~, number of defects will have decreased an order of magnitude in 45 ps at 1100 C. This simple estimate indicates that the implantation damage effect is a very-short-term enhancement to the diffusivity. The experimental result indicates that the diffusion in these structures cannot be modeled using classical diffusion modeling techniques. To model the diffusion of these structures, it is necessary to use (3)-(3, and the parameters derived from OED experiments for the defect fluxes and recombination. This allows the model to be self-consistent with calculations of OED in one and two dimensions. The damage from the implant is assumed to create point defects which provides an initial condition for the anneal step. Fig. 4 shows the spreading resistance measurements and the simulations of wafers 4, 5, and 6, which have the n+ implantation before the phosphorus n- implantation. The simulations were performed with the full defect model as described in Section 11. The damage created by the implants is calculated and assumed to be completely mobile and available to enhance diffusion. This approach works well as shown by the good agreement in Fig. 4. Fig. 4 also shows that the simulated profiles have little variation in the time dependence and the enhancement is short term in nature. Fig. 5 shows the results and simulations of wafers 1, 2, and 3, which have the n- phosphorus implantation first. There is a considerable difference in the final junctions of the wafer that received one damage anneal (wafers 1, 4, 5, and 6) and those that receive two (wafers 2 and 3). SUPREM-V simulations support the conclusion that the damage created by both the phosphorus and arsenic implants is important in determining the final implant. The phosphorus implantation step disturbs approximately 10% of the silicon lattice atoms. Assuming the damage forms stable point defects, which is done in these simulations, the enhancement can therefore be very large. SU- PREM-V simulations indicate that the number of times damage is produced and annealed determines the final junction depth. Wafers, 4, 5, and 6 receive one anneal of a damaged layer and show less diffusion than wafers 2 and 3 which receive two damage anneals. This could also be explained through interaction with extended defects [22]. n this case, wafers 2 and 3 would diffuse further since the phosphorus is annealed before the implantation of arsenic. This anneal would produce an enhanced phosphorus diffusion since the phosphorus would not be screened by the extended defects produced in the arsenic implant. The other four wafers could be accounted for by channeling tails of the phosphorus. From this experiment, it is impossible to tell what ex-

5 282 EEE TRANSACTONS ON ELb.CTRON DEVCES. VOL. 38. NO. 2. FEBRUARY 1991 io! E j i t S' Before S k \\ afrr 1-30m C \\aferi60m911oc \yafert-90mgm Siii Defer: ilodel Standard NMOS Process Flow.: id: 2 lfl'i 0 0i U! 03 ll "i nepihln m Fig. 4. Result of spreading resistance on wafers 4, 5, and 6, as well as the damage-enhanced diffusivity simulations. Wafers 4, 5, and 6 had the arsenic n+ layer implanted before the n- phosphorus layer KeV P A 15m 900T Fig. 6. Experimental Row chart for the experimental graded source and drain devices Depth in pn Fig. 5. Result of spreading resistance on wafers 1, 2, and 3, as well as the damage-enhanced diffusivity simulations. Wafers 1, 2, and 3 had the phosphorus n- layer implanted before the n+ arsenic layer. planation is more accurate, as these simulations show good agreement with experiment without including the effect of extended defects. More work is necessary to understand the effect of extended defects and their interaction with point defects. v. TWO-DMENSONAL EXPERMENTS AND SMULATONS The model for damage enhancement can be extended to two dimensions in a straightforward way since the basic model equations are valid in two dimensions. The main problem, however, is an extension of the one-dimensional damage profile into two dimensions. The one-dimensional calculations of Hobler and Selberherr [20] can be extended into two dimensions using the approach of Furakawa [25]. This, in principle, allows calculations of the MOSFET source and drain regions. Verification of the two-dimensional profiles, however, remains difficult as compared to the one-dimensional profile. Several double-drain-diffused drain MOSFET structures were fabricated to investigate the extent of the lateral enhancement of the source and drain junctions. The devices were fabricated similarly until the source and drain implant step. At this step, three experimental splits were made. The experimental flow chart is shown in Fig. 6. The first experimental split had the phorphorus n- implantation first, followed by the arsenic n+ implant. The second split reversed the order of the implants. The final split performed a low-temperature anneal between the arsenic and phosphorus implants. The results from the onedimensional experiments indicate that there should be little difference in the enhancement in these devices since the phospho- rus profiles receive only one anneal of a damaged layer. f channeling is an important effect, then splits 1 and 2 should have a large final difference in junction depth, since the arsenic implant in split 2 will amorphize the substrate and eliminate channeling. This should be sufficient to anneal most of the arsenic damage. Measurements of the electric channel length are a good indication of the amount of diffusion under the gate. f there is a substantial difference in the lateral diffusion, there should be a difference in the electrical channel length measurements. Channel length measurements indicate that all three experimental splits have no significant difference in the lateral diffusion. SU- PREM-V simulations shown in Fig. 7 agree with this result, and the three experiments show little final junction depth variation, in either the vertical or lateral direction. Parrillo [24] described an LDD disposable spacer (DSP) technology which takes advantage of reducing the damage layer effects described in the one-dimensional experiments. n these structures, it is possible to implant the arsenic n- first and then the phosphorus n- layer, while still offsetting the n+ from the gate edge. This implant order is reversed compared to the normal order for lightly doped drain structures. The devices also included a salicided source and drain junction. Since salicidation effects on diffusion are not well understood, it is difficult to simulate these structures directly. A simulation study of the disposable spacer process without salicided junctions can be compared to a normal LDD process. From the one-dimensional experiments, it is expected that the disposable spacer process would produce less lateral diffusion since the phosphorus anneal experiences only a single anneal of a damaged layer. The LDD process implants the phosphorus first and therefore the phosphorus receives two separate anneals. The DSP process implants the phosphorus after the arsenic and anneals the lightly doped layer once. Simulations of these structures were performed using SUPREM-V. Fig. 8 shows the final junction depths of the structures. The LDD process exhibits more vertical and lateral diffusion of the phosphorus n- layer. The LDD vertical junction is 300 A deeper than the junction for the disposable spacer process. Tbe LDD device also has a longer lateral junction by about 300 A. The disposable spacer technology, therefore, seems to offer considerable improvement in the amount of lateral encroachment of the

6 LAW AND PFESTER: LOW-TEMPERATURE ANNEALNG OF ARSENCPHOSPHORUS JUNCTONS, 283 implant ordering using a disposable spacer appears to be an attractive alternative for manufacturing shallow junctions. ACKNOWLEDGMENT The authors would like to thank C. Rafferty, P. Griffin, R, W. Dutton, and J. Alvis for helpful discussions. REFERENCES 1 1,,,, 1, d 0a d.22 a.46 A.62 a.s3 x 1 mlcr-c * Fig. 7. Simulated junction depth for the graded source and drain devices. There is little difference between the process steps, in agreement with experiment 1.E,E d 1; SUPREM-.V A.8930 ill0 Frocess d d a.sa Y m,crcns Fig. 8. Simulated junction depths for a lightly doped drain (LDD) and disposable spacer (DSP) process. The DSP process has less vertical and lateral diffusion than the equivalent LDD process. source and drain junctions which does improve device performance. V. CONCLUSONS n conclusion, experiments and simulations have been performed which indicate three important effects of implantation damage on arseniclphosphorus codiffusion. First, the profiles are primarily determined by the damage-induced injection of defects. Second, damage enhancement is almost independent of anneal time. Third, the effect of the damage from the phosphorus implant is as important as the arsenic implant damage. The modeling of these effects therefore becomes critical for accurate LDD device design. Simulations and experiments on twodimensional device structures indicate that this same phenomena occur laterally under the gate. Reversing the normal LDD [l] S. Guimaraes, E. Landi, and S. Solmi, Enhanced diffusion phenomena during rapid thermal annealing of preamorphized boron implanted silicon, Phys. Status Solidi (a), vol. 95, p. 589, [2) J. F. Marchiando and J. Albers, Effects of ion-implanted damage on two-dimensional boron diffusion in silicon, J. Appl. Phys., vol. 61, no. 4, p. 1380, [3] M. Miyake and S. Aoyama, J. Appl. Phys., vol. 61, p. 1754, [4] R. B. Fair, Low-thermal-budget process modeling with the PREDCT computer program, EEE Trans. Electron Devices, vol. 35, no. 3, p. 285, [5] A. M. Lin, R. W. Dutton, and D. A. Antoniadis, The lateral effect of oxidation on boron diffusion in ( 100 ) silicon, Appl. Phys. Lett., vol. 35, no. 10, p. 799, [6] D. Mathiot and J. C. Pfister, Dopant diffusion in silicon: A consistent view involving nonequilibrium defects, J. Appl. Phys., vol. 55, no. 10, p. 3518, [7) B. J. Mulvaney, W. B. Richardson, and T. L. Crandle, PEP- PER-A process simulator for VLS, EEE Trans. Computer- Aided Des., vol. 8, no. 4, p. 336, [8] M. D. Giles, Defect coupled diffusion at high concentrations, EEE Trans. Computer-Aided Des., vol. 8, no. 4, p. 460, [9] M. E. Law, Two dimensional numerical simulation of dopant diffusion in silicon, Ph.D. dissertation, Stanford University, Stanford, CA, [lo] J. Tsai, J. Appl. Phys., vol. 51, p. 3230, [l 11 C. P. Ho, J. D. Plummer, S. E. Hansen, and R. W. Dutton, VLS process modeling-suprem 111, EEE Trans. Electron Devices, vol. ED-30, no. 11, pp , [12] P. Pichler, W. Jungling, S. Selberherr, E. Guerrero, and H. Potzl, Simulation of critical C fabrication steps, EEE Trans. Computer-Aided Des., vol. CAD-4, no. 4, p. 384, [13] G. B. Bronner and J. D. Plummer, Gettering of gold in silicon: A tool for understanding the properties of silicon interstitials, J. Appl. Phys., vol. 61, no. 12, p. 5286, [14] T. Y. Tan and U. Gosele, Point defects, diffusion processes, and swirl defect formation in silicon, J. Appl. Phys., vol. 37, no. 1, p. 1, [15] P. B. Griffin and J. D. Plummer, Process physics determining 2-D impurity profiles in VLS devices, in EDM Dig. Tech. Pupers (Los Angeles, CA, 1986), p [16] S. T. Ahn, P. B. Griffin, J. D. Shott, J. D. Plummer, and W. A. Tiller, A study of silicon interstitial kinetics using silicon membranes-application to 2-D dopant diffusion, J. Appl. Phys., vol. 62, no. 12, p. 4745, [17] E. Guerrero, W. Jungling, H. Potzl, U. Gosele, L. Mader, M. Grasserbauer, and G. Stingeder, Determination of the retarded diffusion of antimony by SMS measurements and numerical simulations, J. Electrochem. Soc., p. 2182, [18] S. M. Hu, Kinetics of interstitial supersaturation and enhanced diffusion in short-time/low-temperature oxidation of silicon, J. Appl. Phys., vol. 57, p. 4527, [19] G. D. Watkins, EPR studies of the lattice vacancy and low temperature damage processes in silicon, in Lattice Defects in Semiconductors 1974, Huntley, Ed. (1975, nst. Phys. Conf. Ser. 23, London, UK). [20] G. Hobler and S. Selberherr, Two-dimensional modeling of ion implantation induced point defects, EEE Trans. Computer- Aided Des., vol. 7, no. 2, p. 174, [21] K. S. Jones, S. Prussin, and E. R. Weber, A systematic analysis of defects in ion implanted silicon, Appl. Phys. A, vol. 45, no. 1, p. 1-34, [22) Y. Kim, H. Z. Massoud, and R. B. Fair, The effect of ion implantation damage on dopant diffusion in silicon during shallow

7 2 84 EEE TRANSACTONS ON ELECTRON DEVCES. VOL 38. NO. 2. FEBRUARY 1991 junction formation, J. Elecrron. Mar., vol. 18, no. 2, p. 143, M. E. Law, J. R. Pfiester, and R. W. Dutton, The effect of implantation damage on arsenic/phosphorus codiffusion, presented at EDM, San Francisco, CA, L. C. Parillo, J. R. Pfiester, J. H. Lin, E. 0. Travis, R. D. Savin and C. D. Gunderson, Disposable polysilicon LDD spacer technologv. EEE Trans. Electron Devices. vol. 38. no. 1. DD. 39- A 46, fin ~ 5 S. 1 Furakawa, H. Matsumura, and H. shiwara. Japan. J. Appl. Phys., vol. 11, p. 134, * Mark E. Law (S 79-M Sl-S Sl-M 82) received the B.S. degree in computer engineering from owa State University, Ames, in 1981 and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 1982 and 1988, respectively. His Ph.D. dissertation concerned the two-dimensional numerical modeling of point defect and dopant diffusion in silicon. During this time, he coauthored the SUPREM-V process modeling program. He worked for Hewlett Packard on device and process modeling during 1982 and n 1988, he was a Research Associate in the Department of Electrical Engineering at Stanford University. He joined the faculty of the University of Florida in Gainesville as an Assistant Professor in He won BM Young Faculty Development Award in His research is currently focused on three main areas: bipolar device simulation and modeling; silicon process modeling, with emphasis on the physics of diffusion; and simulation of integrated circuit manufacturing. Dr. Law is a member of Tau Beta Pi, Eta Kappa Nu, and Sigma Xi. * James R. Pfiester (S 75-M 77-S 80-M 84- SM 90) was born in San Diego, CA, on February 12, He received the B.S. degree in electrical engineering, summa cum laude, in 1976 and the M.S. degree in 1977, both from the University of llinois, Urbana-Champaign. He received the Ph.D. degree in electrical engineering from Stanford University, Stanford, CA, in His dissertation investigated the performance limits of CMOS VLS. From 1978 to 1980, he was employed by Motorola, nc. Austin, TX, as a Circuit Designer on the MC b microprocessor chip. From 1981 to 1983, he was a Device Physics Consultant for Hewlett-Packard Corp. From 1984 to 1985, he was a Research Staff Member at the BM Thomas J. Watson Research Center, Yorktown Heights, NY, and was involved in the design of submicrometer CMOS devices. He is currently a Member of the Technical Staff at the Motorola Advanced Products Research and Development Laboratory, Austin, TX, and is involved in the development of advanced CMOS technologies. Dr. Pfiester is a member of the Electrochemical Society, Phi Kappa Phi, the National Society of Professional Engineers, and is a Registered Professional Engineer in the State of Texas.

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION VLSI DESIGN 2001, Vol. 13, Nos. 4, pp. 459-- 463 Reprints available directly from the publisher Photocopying permitted by license only (C) 2001 OPA (Overseas Publishers Association) N.V. Published by license

More information

Technology computer aided design characterization needs and requirements

Technology computer aided design characterization needs and requirements Technology computer aided design characterization needs and requirements Mark E. Law Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611-6200 Received 20

More information

DIFFUSION - Chapter 7

DIFFUSION - Chapter 7 DIFFUSION - Chapter 7 Doping profiles determine many short-channel characteristics in MOS devices. Resistance impacts drive current. Scaling implies all lateral and vertical dimensions scale by the same

More information

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts EE 212 FALL 1999-00 ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range

More information

ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts ION IMPLANTATION - Chapter 8 Basic Concepts Ion implantation is the dominant method of doping used today. In spite of creating enormous lattice damage it is favored because: Large range of doses - 1 11

More information

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device 1 Supratim Subhra Das 2 Ria Das 1,2 Assistant Professor, Mallabhum Institute of Technology, Bankura,

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling 233 Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling Martin D. Giles AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT Advanced integrated circuit processing requires

More information

Dopant Profile and Gate Geometric Effects on Polysilicon Gate Depletion in Scaled MOS

Dopant Profile and Gate Geometric Effects on Polysilicon Gate Depletion in Scaled MOS IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 7, JULY 2002 1227 Dopant Profile and Gate Geometric Effects on Polysilicon Gate Depletion in Scaled MOS Chang-Hoon Choi, Student Member, IEEE, P. R.

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

Diffusion in Extrinsic Silicon and Silicon Germanium

Diffusion in Extrinsic Silicon and Silicon Germanium 1 Diffusion in Extrinsic Silicon and Silicon Germanium SFR Workshop & Review November 14, 2002 Hughes Silvestri, Ian Sharp, Hartmut Bracht, and Eugene Haller Berkeley, CA 2002 GOAL: Diffusion measurements

More information

Electrochemical Society Proceedings Volume

Electrochemical Society Proceedings Volume CALIBRATION FOR THE MONTE CARLO SIMULATION OF ION IMPLANTATION IN RELAXED SIGE Robert Wittmann, Andreas Hössinger, and Siegfried Selberherr Institute for Microelectronics, Technische Universität Wien Gusshausstr.

More information

PROCESS AND DEVICE SIMULATION WITH ONE AND THE SAME PROGRAM. P.Pichler, W.Jlingling, S.Selberherr, E.Guerrero, H.Pbtzl

PROCESS AND DEVICE SIMULATION WITH ONE AND THE SAME PROGRAM. P.Pichler, W.Jlingling, S.Selberherr, E.Guerrero, H.Pbtzl PROCESS AND DEVICE SIMULATION WITH ONE AND THE SAME PROGRAM P.Pichler, W.Jlingling, S.Selberherr, E.Guerrero, H.Pbtzl Institut flir Allgemeine Elektrotechnik und Elektronik Abteilung flir Physikalische

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

The Intrinsic Silicon

The Intrinsic Silicon The Intrinsic ilicon Thermally generated electrons and holes Carrier concentration p i =n i ni=1.45x10 10 cm-3 @ room temp Generally: n i = 3.1X10 16 T 3/2 e -1.21/2KT cm -3 T= temperature in K o (egrees

More information

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations Materials Science and Engineering B 124 125 (2005) 392 396 Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations J.E. Rubio a,, M. Jaraiz

More information

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Dopant and Self-Diffusion in Semiconductors: A Tutorial Dopant and Self-Diffusion in Semiconductors: A Tutorial Eugene Haller and Hughes Silvestri MS&E, UCB and LBNL FLCC Tutorial 1/26/04 1 FLCC Outline Motivation Background Fick s Laws Diffusion Mechanisms

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

September 21, 2005, Wednesday

September 21, 2005, Wednesday , Wednesday Doping and diffusion I Faster MOSFET requires shorter channel P + Poly Al Al Motivation Requires shallower source, drain Al P + Poly Al source drain Shorter channel length; yes, but same source

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 23, 2018 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2018 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 20 Ion-implantation systems and damages during implantation So, in our discussion

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 29, 2019 MOS Transistor Theory, MOS Model Penn ESE 570 Spring 2019 Khanna Lecture Outline! CMOS Process Enhancements! Semiconductor

More information

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Semiconductor A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Page 2 Semiconductor materials Page 3 Energy levels

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Current mechanisms Exam January 27, 2012

Current mechanisms Exam January 27, 2012 Current mechanisms Exam January 27, 2012 There are four mechanisms that typically cause currents to flow: thermionic emission, diffusion, drift, and tunneling. Explain briefly which kind of current mechanisms

More information

Semiconductor Physical Electronics

Semiconductor Physical Electronics Semiconductor Physical Electronics Sheng S. Li Department of Electrical Engineering University of Florida Gainesville, Florida Plenum Press New York and London Contents CHAPTER 1. Classification of Solids

More information

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon

Review of Semiconductor Physics. Lecture 3 4 Dr. Tayab Din Memon Review of Semiconductor Physics Lecture 3 4 Dr. Tayab Din Memon 1 Electronic Materials The goal of electronic materials is to generate and control the flow of an electrical current. Electronic materials

More information

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey Section 7: Diffusion Jaeger Chapter 4 Surface Diffusion: Dopant Sources (a) Gas Source: AsH 3, PH 3, B 2 H 6 (b) Solid Source BN Si BN Si (c) Spin-on-glass SiO 2 +dopant oxide (d) Liquid Source. Fick s

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 9, 019 MOS Transistor Theory, MOS Model Lecture Outline CMOS Process Enhancements Semiconductor Physics Band gaps Field Effects

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Lecture 04 Review of MOSFET

Lecture 04 Review of MOSFET ECE 541/ME 541 Microelectronic Fabrication Techniques Lecture 04 Review of MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) What is a Transistor? A Switch! An MOS Transistor V GS V T V GS S Ron D

More information

A NEW MODEL FOR THE DETERMINATION OF POINT DEFECT EQUILIBRIUM CONCENTRATIONS IN SILICON

A NEW MODEL FOR THE DETERMINATION OF POINT DEFECT EQUILIBRIUM CONCENTRATIONS IN SILICON .I CO i'vtpel-th,,' lntcrnauonal Journal tor Computation and Mathematics in Elcct rical and Electronic Engineering \\)1. (), Nt) 1.,17-44 1%7 BO()l.I' I'RI:SS 1.1\11'11:1) A NEW MODEL FOR THE DETERMINATION

More information

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor Triode Working FET Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor The characteristics of energy bands as a function of applied voltage. Surface inversion. The expression for the

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

! CMOS Process Enhancements. ! Semiconductor Physics.  Band gaps.  Field Effects. ! MOS Physics.  Cut-off.  Depletion. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 4: January 3, 018 MOS Transistor Theory, MOS Model Lecture Outline! CMOS Process Enhancements! Semiconductor Physics " Band gaps " Field Effects!

More information

Atomistic Front-End Process Modeling

Atomistic Front-End Process Modeling Atomistic Front-End Process Modeling A Powerful Tool for Deep-Submicron Device Fabrication SISPAD 2001, Athens Martin Jaraiz University of Valladolid, Spain Thanks to: P. Castrillo (U. Valladolid) R. Pinacho

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 12 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits FINAL EXAMINATION Open book. Notes: 1. Unless

More information

Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN Alloys

Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN Alloys Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm I Name: Closed book. One sheet of notes is allowed.

More information

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India Introduction to Semiconductor Physics 1 Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India http://folk.uio.no/ravi/cmp2013 Review of Semiconductor Physics Semiconductor fundamentals

More information

Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter.

Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter. 2359-3 Joint ICTP-IAEA Workshop on Physics of Radiation Effect and its Simulation for Non-Metallic Condensed Matter 13-24 August 2012 Electrically active defects in semiconductors induced by radiation

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Make sure the exam paper has 8 pages plus an appendix page at the end.

Make sure the exam paper has 8 pages plus an appendix page at the end. UNIVERSITY OF CALIFORNIA College of Engineering Deartment of Electrical Engineering and Comuter Sciences Fall 2000 EE143 Midterm Exam #1 Family Name First name Signature Make sure the exam aer has 8 ages

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON Siddhartha Dhar*, Enzo Ungersböck*, Mihail Nedjalkov, Vassil Palankovski Advanced Materials and Device Analysis Group, at * *Institute

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2005 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

MECHANICAL stress induced by shallow trench isolation

MECHANICAL stress induced by shallow trench isolation 1558 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 55, NO. 6, JUNE 2008 Shallow-Trench-Isolation (STI)-Induced Mechanical-Stress-Related Kink-Effect Behaviors of 40-nm PD SOI NMOS Device V. C. Su, James

More information

Solid-State Electronics

Solid-State Electronics Solid-State Electronics 52 (2008) 1884 1888 Contents lists available at ScienceDirect Solid-State Electronics journal homepage: www.elsevier.com/locate/sse Analysis of STI-induced mechanical stress-related

More information

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region Dopant Diffusion (1) Predeposition dopant gas dose control SiO Si SiO Doped Si region () Drive-in Turn off dopant gas or seal surface with oxide profile control (junction depth; concentration) SiO SiO

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA Fast Monte-Carlo Simulation of Ion Implantation Binary Collision Approximation Implementation within ATHENA Contents Simulation Challenges for Future Technologies Monte-Carlo Concepts and Models Atomic

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

Atomic configuration of boron pile-up at the Si/SiO 2 interface

Atomic configuration of boron pile-up at the Si/SiO 2 interface Atomic configuration of boron pile-up at the Si/SiO 2 interface Masayuki Furuhashi, a) Tetsuya Hirose, Hiroshi Tsuji, Masayuki Tachi, and Kenji Taniguchi Department of Electronics and Information Systems,

More information

Linking ab initio Energetics to Experiment: Kinetic Monte Carlo Simulation of Transient Diffusion of B and Si

Linking ab initio Energetics to Experiment: Kinetic Monte Carlo Simulation of Transient Diffusion of B and Si UCRL-JC-132824 PREPRINT Linking ab initio Energetics to Experiment: Kinetic Monte Carlo Simulation of Transient Diffusion of B and Si S. Theiss M.J. Cartula T. Diaz de la Rubia M.C. Johnson P.B. Griffin

More information

David J. Starling Penn State Hazleton PHYS 214

David J. Starling Penn State Hazleton PHYS 214 Being virtually killed by a virtual laser in a virtual space is just as effective as the real thing, because you are as dead as you think you are. -Douglas Adams, Mostly Harmless David J. Starling Penn

More information

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors Semi-Conductors In the metal materials considered earlier, the coupling of the atoms together to form the material decouples an electron from each atom setting it free to roam around inside the material.

More information

SILICON-ON-INSULATOR (SOI) technology has been regarded

SILICON-ON-INSULATOR (SOI) technology has been regarded IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 10, OCTOBER 2006 2559 Analysis of the Gate Source/Drain Capacitance Behavior of a Narrow-Channel FD SOI NMOS Device Considering the 3-D Fringing Capacitances

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

MOS Transistor Properties Review

MOS Transistor Properties Review MOS Transistor Properties Review 1 VLSI Chip Manufacturing Process Photolithography: transfer of mask patterns to the chip Diffusion or ion implantation: selective doping of Si substrate Oxidation: SiO

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature 1.9. Temperature Dependence of Semiconductor Conductivity Such dependence is one most important in semiconductor. In metals, Conductivity decreases by increasing temperature due to greater frequency of

More information

Accelerated ions. ion doping

Accelerated ions. ion doping 30 5. Simulation of Ion Doping of Semiconductors 5.1. Objectives - To give students hand-on experience of numerical simulation of ion doping used for fabrication of semiconductor planar devices. - To familiarize

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

Semiconductor physics I. The Crystal Structure of Solids

Semiconductor physics I. The Crystal Structure of Solids Lecture 3 Semiconductor physics I The Crystal Structure of Solids 1 Semiconductor materials Types of solids Space lattices Atomic Bonding Imperfection and doping in SOLIDS 2 Semiconductor Semiconductors

More information

Semiconductor Physics fall 2012 problems

Semiconductor Physics fall 2012 problems Semiconductor Physics fall 2012 problems 1. An n-type sample of silicon has a uniform density N D = 10 16 atoms cm -3 of arsenic, and a p-type silicon sample has N A = 10 15 atoms cm -3 of boron. For each

More information

Electric Field--Definition. Brownian motion and drift velocity

Electric Field--Definition. Brownian motion and drift velocity Electric Field--Definition Definition of electrostatic (electrical) potential, energy diagram and how to remember (visualize) relationships E x Electrons roll downhill (this is a definition ) Holes are

More information

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOS Capacitors, transistors. Context Lecture 12: MOS Capacitors, transistors Context In the last lecture, we discussed PN diodes, and the depletion layer into semiconductor surfaces. Small signal models In this lecture, we will apply those

More information

Structure and dynamics of the diarsenic complex in crystalline silicon

Structure and dynamics of the diarsenic complex in crystalline silicon Structure and dynamics of the diarsenic complex in crystalline silicon Scott A. Harrison, Thomas F. Edgar, and Gyeong S. Hwang* Department of Chemical Engineering, University of Texas, Austin, Texas 78713,

More information

Atomistic simulations on the mobility of di- and tri-interstitials in Si

Atomistic simulations on the mobility of di- and tri-interstitials in Si Atomistic simulations on the mobility of di- and tri-interstitials in Si related publications (since 2001): Posselt, M., Gao, F., Zwicker, D., Atomistic study of the migration of di- and tri-interstitials

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

On Limitations of Pair-Diffusion Models for Phosphorus Diffusion

On Limitations of Pair-Diffusion Models for Phosphorus Diffusion SIMULATION OF SEMICONDUCTOR DEVICES AND PROCESSES Vol. 4 Edited by W. Fichtner, D. Aemmer Zurich (Switzerland) September 1214,1991 HartungGorre On Limitations of PairDiffusion Models for Phosphorus Diffusion

More information

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Journal of the Korean Physical Society, Vol. 4, No. 5, November 00, pp. 86 867 An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET Seong-Ho Kim, Sung-Eun Kim, Joo-Han

More information

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling? LECTURE 3 MOSFETS II Lecture 3 Goals* * Understand constant field and constant voltage scaling and their effects. Understand small geometry effects for MOS transistors and their implications modeling and

More information

Fundamentals of Semiconductor Physics

Fundamentals of Semiconductor Physics Fall 2007 Fundamentals of Semiconductor Physics 万 歆 Zhejiang Institute of Modern Physics xinwan@zimp.zju.edu.cn http://zimp.zju.edu.cn/~xinwan/ Transistor technology evokes new physics The objective of

More information

p s s Recombination activity of iron boron pairs in compensated p-type silicon solidi physica status Daniel Macdonald * and An Liu

p s s Recombination activity of iron boron pairs in compensated p-type silicon solidi physica status Daniel Macdonald * and An Liu Phys. Status Solidi B 247, No. 9, 2218 2221 (2010) / DOI 10.1002/pssb.201046157 Recombination activity of iron boron pairs in compensated p-type silicon Daniel Macdonald * and An Liu School of Engineering,

More information

E. Chason and S. T. Picraux Sandia National Laboratories, Post Office Box 5800, Albuquerque, New Mexico 87185

E. Chason and S. T. Picraux Sandia National Laboratories, Post Office Box 5800, Albuquerque, New Mexico 87185 APPLIED PHYSICS REVIEWS Ion beams in silicon processing and characterization E. Chason and S. T. Picraux Sandia National Laboratories, Post Office Box 5800, Albuquerque, New Mexico 87185 J. M. Poate New

More information

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI Chapter 2 The Well Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance Joseph A. Elias, Ph.D. Adjunct Professor, University of Kentucky; Modeling MTS, Cypress Semiconductor

More information

The Devices: MOS Transistors

The Devices: MOS Transistors The Devices: MOS Transistors References: Semiconductor Device Fundamentals, R. F. Pierret, Addison-Wesley Digital Integrated Circuits: A Design Perspective, J. Rabaey et.al. Prentice Hall NMOS Transistor

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

A study of tilt angle e ect on Halo PMOS performance

A study of tilt angle e ect on Halo PMOS performance PERGAMON Microelectronics Reliability 38 (1998) 1503±1512 A study of tilt angle e ect on Halo PMOS performance Jiong-Guang Su a, Shyh-Chyi Wong b, *, Chi-Tsung Huang c a Institute of Electronics Engineering,

More information

Semiconductor Devices and Circuits Fall Midterm Exam. Instructor: Dr. Dietmar Knipp, Professor of Electrical Engineering. Name: Mat. -Nr.

Semiconductor Devices and Circuits Fall Midterm Exam. Instructor: Dr. Dietmar Knipp, Professor of Electrical Engineering. Name: Mat. -Nr. Semiconductor Devices and Circuits Fall 2003 Midterm Exam Instructor: Dr. Dietmar Knipp, Professor of Electrical Engineering Name: Mat. -Nr.: Guidelines: Duration of the Midterm: 1 hour The exam is a closed

More information

Session 6: Solid State Physics. Diode

Session 6: Solid State Physics. Diode Session 6: Solid State Physics Diode 1 Outline A B C D E F G H I J 2 Definitions / Assumptions Homojunction: the junction is between two regions of the same material Heterojunction: the junction is between

More information

Effects of Antimony Near SiO 2 /SiC Interfaces

Effects of Antimony Near SiO 2 /SiC Interfaces Effects of Antimony Near SiO 2 /SiC Interfaces P.M. Mooney, A.F. Basile, and Zenan Jiang Simon Fraser University, Burnaby, BC, V5A1S6, Canada and Yongju Zheng, Tamara Isaacs-Smith Smith, Aaron Modic, and

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

Fig The electron mobility for a-si and poly-si TFT.

Fig The electron mobility for a-si and poly-si TFT. Fig. 1-1-1 The electron mobility for a-si and poly-si TFT. Fig. 1-1-2 The aperture ratio for a-si and poly-si TFT. 33 Fig. 1-2-1 All kinds defect well. (a) is the Dirac well. (b) is the repulsive Columbic

More information

B. Both A and R are correct but R is not correct explanation of A. C. A is true, R is false. D. A is false, R is true

B. Both A and R are correct but R is not correct explanation of A. C. A is true, R is false. D. A is false, R is true 1. Assertion (A): A demultiplexer can be used as a decode r. Reason (R): A demultiplexer can be built by using AND gates only. A. Both A and R are correct and R is correct explanation of A B. Both A and

More information

Chapter 4 Field-Effect Transistors

Chapter 4 Field-Effect Transistors Chapter 4 Field-Effect Transistors Microelectronic Circuit Design Richard C. Jaeger Travis N. Blalock 5/5/11 Chap 4-1 Chapter Goals Describe operation of MOSFETs. Define FET characteristics in operation

More information

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS Operation and Modeling of The MOS Transistor Second Edition Yannis Tsividis Columbia University New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Chapter 1 l.l 1.2 1.3 1.4 1.5 1.6 1.7 Chapter 2 2.1 2.2

More information