Finite State Machine (1A) Young Won Lim 6/9/18

Size: px
Start display at page:

Download "Finite State Machine (1A) Young Won Lim 6/9/18"

Transcription

1 Finite State Machine (A) 6/9/8

2 Copyright (c) Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free ocumentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using LibreOffice and Octave. 6/9/8

3 FSM and igital Logic Circuits Latch FlipFlop Registers Timing Mealy machine Moore machine Traffic Lights Examples FSM Overview (A) 3 6/9/8

4 NOR-based SR Latch SET / RESET SET S= = RESET S= = R= = R= = FSM Overview (A) 4 6/9/8

5 NOR-based SR Latch HOL HOL S= =old HOL S= =old R= =old R= =old FSM Overview (A) 5 6/9/8

6 NOR-based SR Latch SET begins RST begins SET begins RST begins S R SET S= = S= R= S= R= S= R= S= R= S= R= S= S= R= R= S= R= R= = RESET S= = R= = Hold begins Hold begins Hold begins Hold begins HOL S= R= =old =old FSM Overview (A) 6 6/9/8

7 NOR-based SR Latch States HOL RESET SET NOR based SR Latch S R S= R= S= R= S= R= S= R= S= R= SET S= R= = = = = = = RESET S= R= = = S= R= HOL S= R= =old =old FSM Overview (A) 7 6/9/8

8 SR Latch States HOL, RESET SET HOL, SET SET S= R= = = RESET S= R= = = RESET HOL S= R= =old =old FSM Overview (A) 8 6/9/8

9 NOR-based Latch SET / RESET = C= SET S= = R= = C R S = C= RESET S= = R= = C R S FSM Overview (A) 9 6/9/8

10 NOR-based Latch HOL C R =X C= HOL S= R= =old =old S C R =X C= HOL S= R= =old =old S FSM Overview (A) 6/9/8

11 NOR-based Latch Set / Reset / Hold SET begins RST begins SET begins RST begins C C transparent opaque transparent opaque Hold begins Hold begins FSM Overview (A) 6/9/8

12 NOR-based Latch transparent / opaque C C= C= C= transparent opaque transparent transparent C opaque C input output input output FSM Overview (A) 2 6/9/8

13 NOR-based Latch States HOL RESET SET NOR based Latch C C C= =X C= = C= = C= =X C= = = = C= = = = FSM Overview (A) 3 6/9/8

14 Latch States C Opaque, Opaque, Transparent Transparent Transparent Trans C= = = = Trans C= = = = Transparent Opaque C= =old =X =old FSM Overview (A) 4 6/9/8

15 Master-Slave FlipFlops Y C C C Y FSM Overview (A) 5 6/9/8

16 Master-Slave FlipFlop Master Latch Y Y Slave Latch Y Master-Slave F/F FSM Overview (A) 6 the hold output of the master is transparently reaches the output of the slave this value is held for another half period 6/9/8

17 Master Slave FlipFlop transparent / opaque transparent falling edge transparent falling edge transparent C opaque opaque opaque opaque else input output input output FSM Overview (A) 7 6/9/8

18 Master-Slave FlipFlop Falling Edge Master Latch Y CK CK C CK C Y CK CK Slave Latch FSM Overview (A) 8 6/9/8

19 Latch & FlipFlop Level Sensitive Latch CK= transparent CK= opaque CK C Edge Sensitive FlipFlop CK= transparent else opaque CK FSM Overview (A) 9 6/9/8

20 FlipFlop with Enable () EN= Regular Flip Flop Sampling posedge of CK EN CK EN= Holding Flip Flop Sampling posedge of CK EN CK FSM Overview (A) 2 6/9/8

21 FlipFlop with Enable (2) EN CK EN CK EN EN CK FSM Overview (A) 2 6/9/8

22 Registers Inputs to FFs 2 2 Outputs of FFs 2 Register 2 CLK FSM Overview (A) 22 6/9/8

23 FF Timing (Ideal) Inputs to FFs 3: Register Outputs of FFs 3: FSM Overview (A) 23 6/9/8

24 States State (t) th edge (t+) th edge (t+2) th edge (t+3) th edge (t+4) th edge (t+5) th edge Inputs Outputs Register 3: 3: (t) (t+) (t+2) (t+3) (t+4) (t+5) FSM Overview (A) 24 6/9/8

25 Sequence of States (t) th edge (t+) th edge (t+2) th edge (t+3) th edge (t+4) th edge (t+5) th edge Inputs State Outputs :?????? Register 3: (t) (t+) (t+2) (t+3) (t+4) (t+5) Find inputs to FFs which will make outputs in this sequence FSM Overview (A) 25 6/9/8

26 How to change current state Next State Current State NextSt gate delay Compute NextSt from CurrSt, Ta, Tb 3 3 CurrSt comb 2 Register 2 This NextSt becomes a new CurrSt Compute NextSt Current State input Next State CurrSt <= NextSt FSM Overview (A) 26 6/9/8

27 Finding FF Inputs Next State Current State uring the t th clock edge period, Comb Next State Logic Compute the next state (t+) using the current state (t) and other external inputs Place it to FF inputs After the next clock edge, (t+) th, the computed next state (t+) becomes the current state FSM inputs FSM Overview (A) 27 6/9/8

28 Method of Finding FF Inputs 3: 3: (t+) (t+2) (t+3) (t+4) (t+5) (t+6) (t) (t+) (t+2) (t+3) (t+4) (t+5) Find the boolean functions 3, 2,, in terms of 3, 2,,, and external FSM inputs for all possible cases. FSM Inputs (t+) (t) + Inputs Current State (t) input Next State (t+) FSM Overview (A) 28 6/9/8

29 State Transition 3: 3: (t+) (t) (t+) Compute the next state using the current state and external inputs in the current clock cycle (t+) comb Register (t) Inputs FSM Inputs Next State Current State FSM Overview (A) 29 After the next clock edge, the computed next state (FF Inputs) becomes the current state (FF Outputs) 6/9/8

30 Traffic Lights Example FSM Overview (A) 3 6/9/8

31 FSM Inputs and Outputs L B Traffic Lights - Outputs L A L B T B Sensor - Inputs L A T A T A L A T A T B T B L B FSM Overview (A) 3 6/9/8

32 Four States T A = L B L B L A L A T A = L A L A L B L B GR YR T B = L B L B L A L A T B = L A L A L B L B RY RG FSM Overview (A) 32 6/9/8

33 State Transition iagrams and Tables GR T A = T A = YR S S T A T B S' S' X X X X X X X X S S 2 L A L A L B L B RY T B = RG G Y R R R R G Y T B = G: Y: R: FSM Overview (A) 33 6/9/8

34 Next State Functions S and S 2 S S T A T B S' S' S S T A T B S' X X X X X X X X S S S S T B S S T B X X X X X X X X S' = S S + S S = S + S S S T A T B S' S' = S + S S' = S S T A + S S T B S S T A S S T B X X X X X X X X S' = S S T A + S S T B FSM Overview (A) 34 6/9/8

35 Output Functions : L A, L A, L B, L B S S 2 L A L A L B L B S S 2 L A S S 2 L A L A =S L A =S S L A =S L A =S S L B =S L B =S S S S 2 L B S S 2 L B L B =S L B =S S FSM Overview (A) 35 6/9/8

36 Moore FSM inputs T A NextSt gate delay Compute NextSt from CurrSt, Ta, Tb T B Next State S' Current State S CurrSt This NextSt becomes a new CurrSt clk S' states : S : S : S2 : S3 S S S outputs L A L A L B L B outputs (LA/LB) : Green : Yellow : Red : X Compute NextSt CurrSt <= NextSt FSM Overview (A) 36 6/9/8

37 Moore FSM Implementation inputs T A Inputs T A T B T B Current State S S Next State S' Current State S' S S' = S + S Next States clk states : S : S : S2 : S3 S S S S' = S S T A + S S T B L A =S L A =S S L B =S L B =S S outputs S' = S + S S' = S S T A + S S T B Current State S S L A L A L Outputs B L L A =S L B B=S L A =S S L B =S S outputs (LA/LB) : Green : Yellow : Red : X FSM Overview (A) 37 6/9/8

38 Next State Functions S and S 2 S S T A T B S' S' X X X X X Current State FSM Inputs Next State (S S ) (T A T B ) (S S ) X X X {,,,} {,,,} {,,,} S' = S + S S' = S S T A + S S T B FSM Overview (A) 38 6/9/8

39 Cartesian Product S S T A T B S' S' X X X X X X X X Current State FSM Inputs Next State (S S ) (T A T B ) (S S ) {,,,} {,,,} {,,,} S S T A T B S' S' FSM Overview (A) 39 6/9/8

40 Output Functions : L A, L A, L B, L B S S 2 L A L A L B L B Current State (S S ) FSM Output (L A, L A, L B, L B ) G : Y : R : {,,, } {,,,} L A =S L A =S S L B =S L B =S S FSM Overview (A) 4 6/9/8

41 Moore FSM FSM Inputs Next State Current State FSM Outputs Next State Combinational Logic State Register Output Combinational Logic clock FSM Overview (A) 4 6/9/8

42 Mealy FSM FSM Inputs Next State Current State FSM Outputs Next State Combinational Logic State Register Output Combinational Logic clock FSM Overview (A) 42 6/9/8

43 State iagram ST2 ST ST time E: Entry Action active output moore X: Exit Action active output moore I: Input Action active output mealy state output FSM Overview (A) 43 6/9/8

44 Acceptors Acceptor FSM: parsing the string "nice" FSM Overview (A) 44 6/9/8

45 Recognizers Representation of a finite-state machine; determines whether a binary number has an even number of s, where S is an accepting state. FSM Overview (A) 45 6/9/8

46 Classifiers A classifier is a generalization of a finite state machine that, similar to an acceptor, produces a single output on termination but has more than two terminal states FSM Overview (A) 46 6/9/8

47 Transducers Transducers generate output based on a given input and/or a state using actions. They are used for control applications and in the field of computational linguistics. FSM Overview (A) 47 6/9/8

48 Acceptors, Recognizers, Transducers acceptors: either accept the input or not recognizers: either recognize the input transducers: generate output from given input FSM Overview (A) 48 6/9/8

49 General Transducers Transducers are used in electronic communications systems to convert signals of various physical forms to electronic signals, and vice versa. In this example, the first transducer could be a microphone, and the second transducer could be a speaker. FSM Overview (A) 49 6/9/8

50 Transducers : Moore and Mealy Machines Fig. 7 Transducer FSM: Mealy model example There are two input actions (I:): "start motor to close the door if command_close arrives" Fig. 6 Transducer FSM: Moore model example "start motor in the other direction to open the door if command_open arrives". FSM Overview (A) 5 6/9/8

51 Moore machine example output does not depend on inputs FSM Overview (A) 5 6/9/8

52 Mealy machine input / output output does depend on inputs FSM Overview (A) 52 6/9/8

53 Mathematical Model transducers () A finite-state transducer is a sextuple (Σ, Γ, S, s, δ, ω), where: Σ is the input alphabet (a finite non-empty set of symbols). Γ is the output alphabet (a finite, non-empty set of symbols). S is a finite, non-empty set of states. s is the initial state, an element of S. δ is the state-transition function: δ : S Σ S ω is the output function. Moore machine : ω : S Γ Mealy machine : ω : S Σ Γ (Σ, Γ, S, s, δ, ω) (I, O, S, f, g, σ) FSM Overview (A) 53 6/9/8

54 Mathematical Model transducers (2) If the output function is a function of a state and input alphabet (ω : S Σ Γ) that definition corresponds to the Mealy model, and can be modelled as a Mealy machine. If the output function depends only on a state (ω : S Γ) that definition corresponds to the Moore model, and can be modelled as a Moore machine. A finite-state machine with no output function at all is known as a semiautomaton or transition system. FSM Overview (A) 54 6/9/8

55 Mathematical Models acceptors A deterministic finite state machine or acceptor deterministic finite state machine is a quintuple (Σ, S, s, δ, F), where: output set {, } Σ is the input alphabet (a finite, non-empty set of symbols). S is a finite, non-empty set of states. s is an initial state, an element of S. δ is the state-transition function: δ : S Σ S F is the set of final states, a (possibly empty) subset of S. output function ω A set of accepted states FSM Overview (A) 55 6/9/8

56 Finite State Tranducers and Acceptors limited finite state machine (Σ, S, δ) finite state acceptor (Σ, S, s, δ, F) finite-state transducer (Σ, Γ, S, s, δ, ω) Finite State Automaton (FSA) Finite State Machine (FSM) Σ is the input alphabet (a finite non-empty set of symbols). S is a finite, non-empty set of states. δ is the state-transition function: δ : S Σ S s is the initial state, an element of S. F is the set of final states, a (possibly empty) subset of S. Γ is the output alphabet (a finite, non-empty set of symbols). ω is the output function. FSM Overview (A) 56 6/9/8

57 References [] [2] 6/9/8

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs S S Next State CLK Current State

More information

Automata Theory (2A) Young Won Lim 5/31/18

Automata Theory (2A) Young Won Lim 5/31/18 Automata Theory (2A) Copyright (c) 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering SEQUENTIAL CIRCUITS: LATCHES Overview Circuits require memory to store intermediate

More information

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid CSE140: Components and Design Techniques for Digital Systems Midterm Information Instructor: Mohsen Imani Midterm Topics In general: everything that was covered in homework 1 and 2 and related lectures,

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

Sequential Circuit Design

Sequential Circuit Design Sequential Circuit esign esign Procedure. Specification 2. Formulation Obtain a state diagram or state table 3. State Assignment Assign binary codes to the states 4. Flip-Flop Input Equation etermination

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Mealy & Moore Machines

Mealy & Moore Machines Mealy & Moore Machines Moore Machine is a finite-state machine whose output values are determined solely by its current state and can be defined as six elements (S, S 0, Σ, Λ, T, G), consisting of the

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017 UNIVERSITY OF BOLTON TW35 SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER 2-2016/2017 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Topic 8: Sequential Circuits

Topic 8: Sequential Circuits Topic 8: Sequential Circuits Readings : Patterson & Hennesy, Appendix B.4 - B.6 Goals Basic Principles behind Memory Elements Clocks Applications of sequential circuits Introduction to the concept of the

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Chapter 3 Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu http://www.ee.unlv.edu/~b1morris/cpe1/ CPE1: Digital Logic Design I Section 14: Dr. Morris Sequential Logic Design Chapter 3 Chapter

More information

Digital Design. Sequential Logic

Digital Design. Sequential Logic Principles Of igital esign Chapter 6 Sequential Logic Chapter preview Boolean algebra 3 Logic gates and flip-flops 3 Finite-state machine 6 Logic design techniques 4 Sequential design techniques 6 Binary

More information

Finite-state machines (FSMs)

Finite-state machines (FSMs) Finite-state machines (FSMs) Dr. C. Constantinides Department of Computer Science and Software Engineering Concordia University Montreal, Canada January 10, 2017 1/19 Finite-state machines (FSMs) and state

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements:., Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Digital Electronics Sequential Logic

Digital Electronics Sequential Logic /5/27 igital Electronics Sequential Logic r. I. J. Wassell Sequential Logic The logic circuits discussed previously are known as combinational, in that the output depends only on the condition of the latest

More information

ALU, Latches and Flip-Flops

ALU, Latches and Flip-Flops CSE14: Components and Design Techniques for Digital Systems ALU, Latches and Flip-Flops Tajana Simunic Rosing Where we are. Last time: ALUs Plan for today: ALU example, latches and flip flops Exam #1 grades

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

Lecture 7: Sequential Networks

Lecture 7: Sequential Networks CSE 140: Components and Design Techniques for Digital Systems Lecture 7: Sequential Networks CK Cheng Dept. of Computer Science and Engineering University of California, San Diego 1 Part II: Sequential

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop EE210: Switching Systems Lecture 14: State Tables, Diagrams, Latches, and Flip Flop Prof. YingLi Tian Nov. 6, 2017 Department of Electrical Engineering The City College of New York The City University

More information

Chapter 7 Sequential Logic

Chapter 7 Sequential Logic Chapter 7 Sequential Logic SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} March 28, 2016 Table of Contents 1 Intro 2 Bistable Circuits 3 FF Characteristics

More information

State and Finite State Machines

State and Finite State Machines State and Finite State Machines See P&H Appendix C.7. C.8, C.10, C.11 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Sequential logic and design

Sequential logic and design Principles Of Digital Design Sequential logic and design Analysis State-based (Moore) Input-based (Mealy) FSM definition Synthesis State minimization Encoding Optimization and timing Copyright 20-20by

More information

FSM model for sequential circuits

FSM model for sequential circuits 1 FSM model for sequential circuits The mathematical model of a sequential circuit is called finite-state machine. FSM is fully characterized by: S Finite set of states ( state ~ contents of FFs) I Finite

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

or 0101 Machine

or 0101 Machine Synchronous State Graph or Synchronous State Graph or Detector Design a state graph for a machine with: One input X, one output Z. Z= after receiving the complete sequence or Overlapped sequences are detected.

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

Topic 8: Sequential Circuits. Bistable Devices. S-R Latches. Consider the following element. Readings : Patterson & Hennesy, Appendix B.4 - B.

Topic 8: Sequential Circuits. Bistable Devices. S-R Latches. Consider the following element. Readings : Patterson & Hennesy, Appendix B.4 - B. Topic 8: Sequential Circuits Bistable Devices Readings : Consider the following element Patterson & Hennesy, Appendix B.4 - B.6 Goals Basic Principles behind Memory Elements Clocks Applications of sequential

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Introduction to Computers & Programming

Introduction to Computers & Programming 16.070 Introduction to Computers & Programming Theory of computation: What is a computer? FSM, Automata Prof. Kristina Lundqvist Dept. of Aero/Astro, MIT Models of Computation What is a computer? If you

More information

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits Chapter 3 Chapter 3 :: Topics igital esign and Computer Architecture, 2 nd Edition avid Money Harris and Sarah L. Harris Introduction Latches and Flip Flops Synchronous Logic esign Finite State Machines

More information

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008

CSE 140 Midterm 2 Tajana Simunic Rosing. Spring 2008 CSE 14 Midterm 2 Tajana Simunic Rosing Spring 28 Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page. Do not separate the pages.

More information

Lecture 10: Synchronous Sequential Circuits Design

Lecture 10: Synchronous Sequential Circuits Design Lecture 0: Synchronous Sequential Circuits Design. General Form Input Combinational Flip-flops Combinational Output Circuit Circuit Clock.. Moore type has outputs dependent only on the state, e.g. ripple

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Sequential Logic Stephen A. Edwards Columbia University Summer 2017 State-Holding Elements Bistable Elements S Latch Latch Positive-Edge-Triggered Flip-Flop Flip-Flop with

More information

FYSE420 DIGITAL ELECTRONICS

FYSE420 DIGITAL ELECTRONICS FYSE42 IGITAL ELECTRONICS Lecture 4 [] [2] [3] IGITAL LOGIC CIRCUIT ANALYSIS & ESIGN Nelson, Nagle, Irvin, Carrol ISBN -3-463894-8 IGITAL ESIGN Morris Mano Fourth edition ISBN -3-98924-3 igital esign Principles

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

Digital Design 2010 DE2 1

Digital Design 2010 DE2 1 1 Underviser: D. M. Akbar Hussain Litteratur: Digital Design Principles & Practices 4 th Edition by yj John F. Wakerly 2 DE2 1 3 4 DE2 2 To enable students to apply analysis, synthesis and implementation

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

EE141- Spring 2007 Digital Integrated Circuits

EE141- Spring 2007 Digital Integrated Circuits EE141- Spring 27 igital Integrated Circuits Lecture 19 Sequential Circuits 1 Administrative Stuff Project Ph. 2 due Tu. 5pm 24 Cory box + email ee141- project@bwrc.eecs.berkeley.edu Hw 8 Posts this Fr.,

More information

P2 (10 points): Given the circuit below, answer the following questions:

P2 (10 points): Given the circuit below, answer the following questions: P1 (10 points): Given the function f(a, b, c, d) = m(3,4,5,10,14) + D(6,7): A: Fill in the timing diagram for f. B: Implement f using only 2-1 MUXes. Your circuit should not include more than four 2-1

More information

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1 Ch 7. Finite State Machines VII - Finite State Machines Contemporary Logic esign 1 Finite State Machines Sequential circuits primitive sequential elements combinational logic Models for representing sequential

More information

Time Allowed 3:00 hrs. April, pages

Time Allowed 3:00 hrs. April, pages IGITAL ESIGN COEN 32 Prof. r. A. J. Al-Khalili Time Allowed 3: hrs. April, 998 2 pages Answer All uestions No materials are allowed uestion a) esign a half subtractor b) esign a full subtractor c) Using

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Sequential Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Sequential Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design Sequential Logic Combinational circuits with memory

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

Lecture 3 Review on Digital Logic (Part 2)

Lecture 3 Review on Digital Logic (Part 2) Lecture 3 Review on Digital Logic (Part 2) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ircuit Optimization Simplest implementation ost criterion literal

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline. Review: esigning with FSM EECS 150 - Components and esign Techniques for igital Systems Lec 09 Counters 9-28-0 avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

State & Finite State Machines

State & Finite State Machines State & Finite State Machines Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University See P&H Appendix C.7. C.8, C.10, C.11 Stateful Components Until now is combinatorial logic Output

More information

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization Discrete Systems Lecture: Automata, State machines, Circuits Stavros Tripakis University of California, Berkeley Stavros

More information

Homework #4. CSE 140 Summer Session Instructor: Mohsen Imani. Only a subset of questions will be graded

Homework #4. CSE 140 Summer Session Instructor: Mohsen Imani. Only a subset of questions will be graded Homework #4 CSE 140 Summer Session 2 2017 Instructor: Mohsen Imani Only a subset of questions will be graded 1) For the circuit shown below, do the following: a. Write a logic equation for the output P

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Chapter 7. Synchronous Sequential Networks. Excitation for

Chapter 7. Synchronous Sequential Networks. Excitation for Chapter 7 Excitation for Synchronous Sequential Networks J. C. Huang, 2004 igital Logic esign 1 Structure of a clocked synchronous sequential network Mealy model of a clocked synchronous sequential network

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Sequential Circuits Circuits with state Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1 Combinational circuits A 0 A 1 A n-1. Sel lg(n) O Mux A B Comparator Result: LT,

More information

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts

Clocked Sequential Circuits UNIT 13 ANALYSIS OF CLOCKED SEQUENTIAL CIRCUITS. Analysis of Clocked Sequential Circuits. Signal Tracing and Timing Charts ed Sequential Circuits 2 Contents nalysis by signal tracing & timing charts State tables and graphs General models for sequential circuits sequential parity checker Reading Unit 3 asic unit Unit : Latch

More information

Lecture 9: Sequential Logic Circuits. Reading: CH 7

Lecture 9: Sequential Logic Circuits. Reading: CH 7 Lecture 9: Sequential Logic Circuits Reading: CH 7 Sequential Logic FSM (Finite-state machine) Inputs Current State COMBINATIONAL LOGIC Registers Outputs = f(current, inputs) Next state 2 storage mechanisms

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Review for Final Exam

Review for Final Exam CSE140: Components and Design Techniques for Digital Systems Review for Final Exam Mohsen Imani CAPE Please submit your evaluations!!!! RTL design Use the RTL design process to design a system that has

More information

COMPUTER SCIENCE TRIPOS

COMPUTER SCIENCE TRIPOS CST.2016.2.1 COMPUTER SCIENCE TRIPOS Part IA Tuesday 31 May 2016 1.30 to 4.30 COMPUTER SCIENCE Paper 2 Answer one question from each of Sections A, B and C, and two questions from Section D. Submit the

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CSE140: Digital Logic Design Registers and Counters

CSE140: Digital Logic Design Registers and Counters CSE14: Digital Logic Design Registers and Counters Prof. Tajana Simunic Rosing 38 Where we are now. What we covered last time: ALUs, SR Latch Latches and FlipFlops (FFs) Registers What we ll do next FSMs

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

14.1. Unit 14. State Machine Design

14.1. Unit 14. State Machine Design 4. Unit 4 State Machine Design 4.2 Outcomes I can create a state diagram to solve a sequential problem I can implement a working state machine given a state diagram STATE MACHINES OVERVIEW 4.3 4.4 Review

More information

Sequential Synchronous Circuit Analysis

Sequential Synchronous Circuit Analysis Sequential Synchronous Circuit Analysis General Model Current State at time (t) is stored in an array of flip-flops. Next State at time (t+1) is a Boolean function of State and Inputs. Outputs at time

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Original slides from Gregory Byrd, North Carolina State University Modified by C. Wilcox, M. Strout, Y. Malaiya Colorado State University Computing Layers Problems Algorithms

More information

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid Timing Constraints in Sequential esigns 63 Sources: TSR, Katz, Boriello & Vahid Where we are now. What we covered last time: FSMs What we ll do next: Timing constraints Upcoming deadlines: ZyBook today:

More information