Non-Destructive Micro-Raman Analysis of Si Near Cu Through Silicon Via

Size: px
Start display at page:

Download "Non-Destructive Micro-Raman Analysis of Si Near Cu Through Silicon Via"

Transcription

1 Electron. Mater. Lett., Vol., No. (), pp. 1-9 DOI: 1.17/s Non-Destructive Micro-Raman Analysis of Si Near Cu Through Silicon Via Jae Hyun Kim, 1,2 Woo Sik Yoo, 3 and Seung Min Han 1, * 1 Graduate School of Energy Environment Water Sustainability, Korea Advanced Institute of Science and Technology, Daejeon 34141, Korea 2 Metrology and Inspection Technology Team, SK hynix, Icheon 17336, Korea 3 WaferMasters, Inc., San Jose 95112, USA (received date: 2 November 216 / accepted date: 15 December 216) Silicon near Cu through-silicon vias (TSVs) develops stresses during processing steps, and the local stress of Si around Cu TSVs of sizes ranging from 4 to 8 µm was characterized using micro-raman spectroscopy as a function of processing steps. Micro-Raman measurements showed that the max stress sum, σ r + σ θ, is size dependent, where the stress sum of 88.7 MPa in the compressive direction was measured in Si for 8 µm sized Cu via, and this max stress sum, σ r + σ θ, decreased to 21 MPa in compression for 4 µm sized Cu via. With the deposition of oxide/nitride overlayers, the stress sum was found to switch sign to MPa in the tensile direction for 8 µm sized Cu via after deposition of the SiN overlayers with residual compressive stress caused by ion bombardment. The measured stresses by micro-raman was used to determine the keep-off-zone that can be used in device design to ensure reliability, and compared against the TCAD simulations results. Keywords: Cu TSV, Si stress, micro-raman, TCAD 1. INTRODUCTION As silicon complementary metal-oxide-semiconduct-or (CMOS) devices are continuously scaled to approach 1 nm, [1] the industry is facing difficult technical challenges, not only in the process development, but also in quality control and/or device reliability. The international technology roadmap for semiconductors (ITRS) targets is likely to be delayed or revised due to the difficulties in overcoming these challenges. While conventional (front-end-of-line (FEOL)) scaling is close to being realized, improvement of device performance and functionality through back-end-of-line (BEOL) packaging technology is needed. Recent developments in three-dimensional integrated circuit (3-D IC) has gained strong interest since circuit real estate can be significantly reduced. [2-4] In suitable integration of memory and logic devices through 3-D packaging is currently being developed, *Corresponding author: smhan1@kaist.ac.kr KIM and Springer with through-silicon-vias (TSVs) being a prominent technology. [5] Development of TSV technologies thus far has been directed at the processing condition for Cu metallization followed by FEOL passivation layer deposition. However, such processing steps, in forming TSVs, leads to undesirable Si stress being induced near the TSVs due to the difference in coefficients of thermal expansion (CTE) between TSV Cu and Si during subsequent BEOL thermal cycling steps. The thermally induced stresses around the Cu TSVs can not only cause micro-cracks to form in the surrounding Si, [6] it can also affect the variation of carrier mobility in devices placed near the Cu TSVs. Depending on the specified tolerance for variation in transistor performance, [7-1] the keep-out-zone (KOZ) surrounding the TSVs is set by design rules for device layout, [1] where devices are only fabricated outside of this zone. Therefore, it is crucial to understand the stress distribution near TSVs in relation to dimensions (diameter, depth and pitch) at various stages of device integration processing steps. As the device technology node advances, 1

2 2 J. H. Kim et al. TSV diameter and pitch dimensions shrink and deepen; as a consequence, TSV volume and aspect ratio (AR: depth/ diameter) also change. TSV integration schemes, including process sequence and the number of processing steps, also vary with technology node [11] and integration scheme. Since the layout and dimensions of TSVs keep evolving, it is important to establish a proper local Si stress monitoring technique, which can be used in actual device design, development, and manufacturing. [12-14] Recognizing the need to determine the stress distribution near TSVs, many techniques have been used to measure TSV Cu and Si stress. [14-19] Synchrotron X-ray microdiffraction seemed to be the most powerful technique for analyzing crystal orientation and stress in TSV Cu and Si [15,17] since it can provide in-situ monitoring of strain during high temperature annealing. However, this technique requires special facilities and cannot be used as a practical in-line stress monitoring technique in a semiconductor production line. Micro-Raman spectroscopy is a nondestructive method and does not require any prior sample preparation. [13,14,16,2,21] By selecting a proper excitation wavelength, local stress in Si near the depth of the device channel can be characterized with a high spatial resolution of.5 μm. Micro-Raman spectroscopy measurements allow for stress measurement after each processing cycling step such as dielectric film deposition of SiO 2 and SiN at elevated temperatures. Since the stacks of dielectric layers on top of TSV are mostly transparent in the visible wavelength range, they have limited effect on the Raman signal intensity from Si even after considering interference fringes with no change in the Raman shift. These features, therefore, make micro- Raman spectroscopy a suitable in-line localized Si stress monitoring technique. In this study, local Si stress distribution near Cu TSVs with various via sizes with and without passivation layers were characterized using micro-raman spectroscopy and compared against FEM analysis using technology computer-aided design (TCAD) software. Effects of thermal cycling on the local Si stress distribution around Cu TSVs were characterized after depositing transparent SiO 2 and SiN thin films (>11 layers) on top of Cu TSVs. Si stresses, which are dependent on TSV size, were characterized and discussed from the perspective of the microstructural change in the Cu TSV. This is the first report on using micro Raman method to nondestructively characterize and analyze the stresses in Si as a function of via size then again as a function of processing steps. It should be noted that the Cu TSVs explored in this study is in the range of 4-8 μm, which is practical dimension for high density 3-D memory packaging, known as high bandwidth memory (HBM), that is currently being developed in the industry. [22] 2. EXPERIMENTAL PROCEDURE 2.1 Fabrication of Cu TSV array Cu TSV arrays with dimensions of 4-8 μm in diameter were fabricated on Si (1) wafer for all TSVs (Fig. 1(a)). The depth and spacing between Cu TSVs were fixed at 6 μm and 1 μm, respectively. The electroplated Cu was annealed at 1 C for 1 hr in a vertical furnace followed by 4 C for 1 min using a rapid thermal annealing (RTA) system. During the thermal annealing steps, Cu diffused up to the top surface to form a protrusion [23-25] since the larger CTE of Cu caused diffusion up the top surface of the via; the Cu vias then were planarized by chemical mechanical polishing (CMP) (Fig. 1(b)). [26] Transparent SiO 2 and SiN thin films (>11 layers) were finally deposited by plasma enhanced chemical vapor deposition (PECVD) at 4 C on Si wafers with Cu TSV arrays and cooled to room temperature (Fig. 1(c)). Highly compressive SiN films were deposited with a source gas flow ratio (NH 3 /SiH 4 ) of.85 under 1.3 Torr. R.F. (radio frequency) frequency and power density for PECVD were 38 khz and 5 mw/cm 2. [27] The curvature of Si wafers after the final SiN film were measured by 633 nm laser line scans along major crystalline axes at room temperature. The wafer radius of curvature is measured and the film stress is determined. The resulting microstructure of Cu vias was characterized using focused ion beam (FIB) cross section as shown in Fig. 1(d) that showed increase in grain size with increase in via diameter, as expected. The maximum Cu grain size in radial direction as computed by image processing software after RTA was 2.2 μm in a 4 μm TSV that increased to 7.8 μm in an 8 μm TSV. Micro X-ray diffraction imaging [28] (g = 224) was used to map the Cu TSVs that indicated no crack formations [28] in adjacent Si (Fig. 1(a)). As a result of the electroplating/thermal annealing/cmp processes, Si that surrounds the Cu TSVs is expected to develop thermo-mechanical stresses associated with the mismatch in the coefficient of thermal expansion (CTE) between Cu and Si, and significant grain growth occurs during thermal annealing. First, TSV Cu is filled by an electroplating process from chemicals with additives at room temperature that typically results in tensile stresses in the asdeposited fine grained Cu via. [15] The stress state of the Cu TSV then becomes largely compressive during the annealing step up to 4 C (CTE of Cu is greater than that of Si) that causes Cu to be extruded up the top surface. The extruded Cu is then polished using chemical-mechanical polishing methods. At elevated temperatures, plasticity in Cu via is expected and can therefore cause stress relaxation in Cu. [29] Therefore, a tensile residual stress will develop in Cu due to the build-up of compressive stress during the thermal annealing step. In addition, the Cu microstructure is changed

3 J. H. Kim et al. 3 Fig. 1. X-ray diffraction imaging (g = 224) map of TSVs of different sizes (a), schematic for opened Cu TSV via (b) and with dielectric overlayers (c). Cross-sectional FIB SEM image as a function of diameter (d). during thermal annealing that results in significant grain growth of the electroplated Cu as shown in Fig. 1(d). During grain growth, excess volume associated with the grain boundaries is removed and this will cause higher tensile stresses upon cooling to room temperature. It should be noted that it is the plasticity and grain growth of Cu that is expected to cause build-up of significant triaxial or hydrostatic tension in the Cu TSV due to the change in volume. [15,25] The volumetric tensile strain imposed in the Cu via is therefore expected to cause stresses to develop in the nearby Si. Using the logical cylindrical coordinate system for this case of cylindrical Cu via, the radial stress σ r is expected to be tensile where as the hoop stress σ θ is expected to be compressive as the Si material is being strained inwards radially towards the Cu via. The expected magnitude of the stresses in Si is expected to be dependent on the via size and this was measured using non-destructive micro-raman methods. 2.2 Micro-Raman measurement Micro-Raman shift in nearby Si was measured as a function of Cu via size at different positions as shown in Fig. 3(a). Among many Ar + laser spectral lines, the 488. nm spectral line [14] with moderate probing depth of ~49 nm was mainly used as the Raman excitation wavelength in this study. [12-14,16] Si results in Raman shift towards the higher wavenumber side (positive shift) for compression and lower wavenumber side (negative shift) for tension from the stress free Raman shift of 52.3 cm 1, respectively. The asmeasured wavenumber resolution for 488. nm excitation is.85 cm 1, but a positive +.1 cm 1 shift or 47 MPa in compression of the Raman signal from stress-free reference Si corresponds to stress sum of 47 MPa. Thus, measurement repeatability, greater than.1 cm 1 is required to make meaningful stress distribution analyses of Si nearby TSVs. All measurements were scanned along <11> direction of the TSVs with 4-8 μm TSV Cu samples. Raman shift values of measured spectra were used to estimate the thermally induced residual stress distribution near the TSVs as a function of distance relative to the center of the Cu TSV at various steps within the processing. Raman spectroscopy typically is performed in a backscattering configuration, and the longitudinal optical (LO) mode is used to determine the Raman shift in

4 4 J. H. Kim et al. frequency. For the Cu TSV in Si, the near-surface stress in Si is approximately biaxial, where σ r, σ θ are radial and circumferential stresses in Si. The sum stress, σ r + σ θ, is proportional to the Raman frequency shift, and the proportionality or sensitivity factor is calibrated using high resolution XRD measurements on biaxially stressed SiGe film on silicon wafer. [2,3] The resulting conversion equation is as follows: σ r ( rz, ) D/2 2π Eε T D ( υ) 2r Eε = T 2π ( 1 υ) υ 3z( R 2 z 2 ) cos 2 β R 2 + Rz R 5 z ( 1 2υ)sin 2 β R 2 + Rz R 3 ρdρdθ (2) σ r + σ θ ( MPa) = 47Δω 3 ( cm 1 ) 2.3 Finite Element Modeling using TCAD Technology computer-aided design (TCAD) software (Synopsys, Inc.) was used for FEM analysis of the TSVs. [31,32] Thermo-mechanical modelling of the Cu TSVs in a Si substrate, using FEM, has proven to be effective in determining the stress distribution in Cu and Si for both reliability and effects on mobility. The input to this elastic modelling consisted of three different materials (Cu, SiO 2, and Si) in which parameters were the CTE, Young s modulus elastic constants, and Poisson s ratio as shown in Table 1. Here, anisotropic constants for Si and elastic constant for Cu were used for TCAD simulations. Cu is assumed to be isotropic and stiffness (C ij ) for Si is taken from work by Hopcroft et al. [33] Since the Raman signal penetrates up to 49 nm from the wafer surface, the stress components are extracted from 49 nm below the wafer surface. A thermal process of cooling from a 4 C down to room temperature, ΔT = 4 C, was imposed to examine the trend in elastic stresses in different sized Cu TSV. The contribution from the thin Ta sidewall in between Cu and Si was ignored since the thin Ta layer is expected to have a negligible effect on the Si stress. [2] 3. RESULTS AND DISCUSSION 3.1 Analytical model predictions From the stress sum, the individual components of stresses can be determined by solving a 2D plane-strain solution to the classical Lame problem subjected to thermal load ΔT as explained in the work by Ryu et al. [21,34] Table 1. The material parameter for TCAD simulation [33,34] Material CTE Mismatch (ppm/k) Young s Modulus (GPa) Posisson s ratio Cu SiO Si 2.3 C 11 : C 12 : 63.9 C 44 : (1) σ θ ( rz, ) D/2 2π σ z ( rz, ) σ rz ( rz, ) Eε T D ( υ) 2r Eε = T π( 1 υ) 1 2υ 3z( R 2 z 2 ) sin 2 β R 2 + zr R z ( 1 2υ)cos 2 β + zr R 3 R 2 Eε = T ( υ) 1 Eε = T ( 1 υ) 2π + 2π D/2 D/2 3z 3 ρdρdθ πR 5 ρdρdθ 3z 2 ( r ρcosθ)ρdρdθ πR 5 where R = z 2 + r 2 + ρ 2 2ρrcosθ, β = tan 1 (( ρcosθ)/ ( r ρcosθ) ) and ε T = ( α Cu α Si ) ΔT is the thermal mismatch strain. Using the analytical solution from above, the expected σ r, σ θ and the sum stress, σ r + σ θ, was evaluated for TSV sizes of 4 μm and 8 μm as shown in Fig. 2. For simplicity, isotropic elastic constants were used and the effects of other thin film materials (TiN, Ta and SiO 2 ) are ignored. Young s modulus of Cu and Si were taken to be E Cu = E Si = 13 GPa, and the Poisson s ratio of ν Cu = ν Si =.28 and the coefficient of thermal expansion mismatch of α = α Cu α Si = 14.7 ppm/ o C were used. For this calculation, T = 4 C, thermal load was imposed. [34] From Fig. 2(a) and 2(b), the radial stress, σ r is tensile and hoop stress σ θ is compressive, as expected, due to the tensile volumetric change in Cu that imposes pulling force on surrounding Si in the radial direction. The radial and hoop stress matches in magnitude to results in near zero sum stress, σ r + σ θ, shown in Fig. 2(c), except near the Cu/Si interface where the σ θ becomes greater than σ r to result in negative sum stress. For the two cases of 4 μm and 8 μm Cu via, the larger sized via is expected to cause higher σ r, σ θ and therefore higher sum stress, σ r + σ θ. 3.2 Micro-Raman analysis The micro-raman frequency shift as a function of TSV diameter is shown in Fig. 3(a) and Eq. (1) was used to convert the micro-raman frequency shift to the sum stress. (3) (4) (5)

5 J. H. Kim et al. 5 The compressive sum stress in nearby Si is the highest at the Cu/Si interface and decays asymptotically with increasing distance away from the TSV in the <11> directions, as expected. Additionally, one can clearly observe that the largest Cu via size of 8 μm had the highest max stress sum in Si of 88.7 MPa in compression and the smallest via of 4 μm had significantly lower max stress sum of 21 MPa in compression. The trend of increase in the stress sum for Cu TSV with larger diameter is in agreement with previous micro-raman studies of larger sized Cu vias (5-4 μm in depth of 6 μm).[13,16,2,21] The cause for higher stresses in larger sized TSVs as shown in the micro-raman data in Fig. 3(b) can be explained with respect grain growth. The maximum stress sum, σr + σθ, in Si determined from micro-raman is plotted together with the average grain size of Cu in Fig. 3(d) that clearly indicates that larger grain sizes due more removal of excess volume associated with grain boundaries during thermal annealing step causes more tensile volumetric strain in the Cu TSV to result in higher sum stresses in in adjacent Si. Since the as-deposited Cu via is taken from room temperature to 4 C then back to 4 C, no thermal stresses are expected; however, the Cu does result in significant grain growth as discussed above that in turn causes larger tensile radial stress and compressive hoop stress to develop in nearby Si. Other than the grain growth effect, larger sized Cu via with less contribution from the Cu/ Si interface is expected to be more effective in straining the nearby Si than smaller sized Cu via thus leading to higher stresses in Si near larger sized Cu vias. These factors therefore both contribute to the size dependency in the measured stress sum in Si nearby different sized Cu TSVs. Fig. 2. The model calculation of the stress sum that is measured in micro-raman spectroscopy and the resolved, individual component stress (a), (b) and stress sum (c), radial (σr) + circumferential stresses (σθ) for a 4 µm and 8 µm Cu vias by semianalytical calculation. 3.3 Finite element modeling for size dependency in Si stresses In order to confirm the experimentally determined trend of increasing Si stresses with increase in the TSV diameter, finite element simulations using TCAD were performed for the open, isolated Cu TSVs (without SiO2/TiN overlayers) with diameters of 4 μm and 8 μm. Since the Cu via is expected to be relaxed at elevated temperatures and develop tensile stress when cooled to room temperature, thermomechanical modeling of the stress development in Si was analyzed for the case of cooling from 4 C to 25 C, ie T = 4 C and compared with the experimental trend in stresses for TSVs in the range of 4-8 μm. The top view of the in-plane stress mapping (σxx, σyy) is shown in Fig. 4 that confirmed higher tensile radial stresses and compressive hoop stresses in Si for larger sized 8 μm Cu TSVs. Although the magnitude of the stresses from the simulations were higher as a result of assuming elastic only deformations, the trend in stresses with varying via sizes can be compared with that of the experimental micro-raman measurements from

6 6 J. H. Kim et al. Fig. 3. The Raman shift (a) and the corresponding local Si stresses (b) as a function of measurement location for different sized Cu vias. (c) Max stress sum, σr + σθ, in Si measured from micro-raman is plotted against the average radial grain size(d). in Fig. 3(b) and 3(c). The results from FEM analysis showed a linear relationship of increasing stress sum, σr + σθ, with increasing via size of 4-8 μm, as expected (Fig. 3(b) and 3(c)). In comparing the simulation results to the microraman experimental data, a similar trend of increasing stress with via size was found as shown in Fig. 4(e), although experimental results deviated from linear relationship, which is expected since the simulation does not account for plasticity or grain growth of Cu. 3.4 Keep-Out-Zone The keep-out-zone (KOZ), which is defined by the region, where the stress-induced mobility variation of Si exceeds 5%, was determined based on the TCAD simulations as shown in Fig. 4(e). Since micro-raman measurements are for the stress sum σr + σθ and the individual stress components are not known. However, one can estimate the KOZ distance by defining the distance away from the Cu/Si interface in which the stress sum σr + σθ goes to zero. The plots for the KOZ equivalent from micro-raman results are shown together with the KOZ calculated from the TCAD simulations in Fig. 4(e). Although there is a difference in the magnitude of the KOZ, the trend is similar between the KOZ determined from TCAD simulations and the KOZ equivalent determined from the micro-raman results in that KOZ increases with increase in the via diameter. The KOZ is expected to be consistently lower for the micro-raman based estimation due to the plasticity induced relaxation in Cu that in turn lowers the stresses in adjacent Si; TCAD simulations, however, were for elastic deformation that results in higher stresses in Cu and adjacent Si. TCAD simulations are useful for simple estimation of the nearby stresses for TSVs, but the micro-raman based non-destructive characterization tool can more accurately provide the KOZ estimation that accounts for plastic relaxation of Cu to ensure device reliability. 3.5 Effect of SiN overlayer on the Si stresses Results presented thus far are for open Cu TSV structure, but typical Cu TSV integration requires deposition of overlayers consisting of SiO2 and SiN multilayers before the final metallization process as indicated in the schematic shown in Fig. 1(b). During the deposition steps, repeated RTA thermal cycles (>11 cycles) cause stresses in Cu and nearby Si. To gain insights into the effects of repetitive

7 J. H. Kim et al. 7 Fig. 5. Local Si stress distribution along the <11> direction calculated from micro-raman line scan (a) of 8 µm diameter Cu TSVs with (red open circle) and without (black open square) dielectric overlayers, schematic for opened Cu TSV wafer (b) and capped Cu TSV wafer (c). Fig D maps of normal stresses (σ xx, σ yy) TCAD simulation for a 4 µm (a) and 8 µm (c) Cu vias and the corresponding outline of KOZ for 4 µm (b) and 8 µm (d) via. (e) Comparison of KOZ based on TCAD simulations and micro-raman characterization plotted against Cu via size showing a similar trend. thermal cycling on stress evolution of Si adjacent to Cu TSV, micro-raman measurements were performed on 8 μm Cu TSV with the dielectric layers that are deposited at 4 C and cooled to room temperature. Local Si stresses calculated from the micro-raman measurements as a function of distance from the center for 8 μm Cu TSV with and without dielectric overlayers are shown in Fig. 5(a). The Si wafer with multilayered dielectric films on top of the Cu TSVs which were thermally cycled between 25 C to 4 C multiple times surprisingly showed the reversed sign of stress sum, σ r + σ θ. The stress sum was now tensile after the deposition of the nitride layer due the compressive stress buildup in the nitride layer as explained further below. The cause for the inversion of stress sum, σ r + σ θ, in Si from compressive to tensile stress after multilayered dielectric film deposition is presumably due to the development of compressive stress in the SiN layer that will impose biaxial tensile strain in the underlying Si. The cause for the compressive stress in SiN has been reported previously, where the process of using PECVD reactor at 4 C places SiN film in a compressive stress due to densification of the film during ion bombardment as reported in the work by Mackenzie et al. [27] Measurement of the global warpage after SiN film deposition showed convex bow downward with R = 28.7 m, which is consistent with development of compressive stress in the SiN overlayers. As a result, tensile stress is induced in the Si below the SiN/SiO 2 overlayers. The application of global tensile stress in Si therefore counteracts the increase in compressive hoop stress at the Cu/Si interface to result in tensile stress sum, σ r + σ θ. 3.6 Discussion TCAD simulations are useful for simple estimation of the nearby stresses for TSVs. In the simulation, the physical properties of bulk Cu and bulk Si are assumed to be substantially the same in micrometer scale structures. The effects of Cu EP chemistry, Cu grain growth, Cu grain orientation and difference in thermal cycles and thermal history along process integration on resulting stress in Si near Cu TSVs are neglected. We often learned that the TCAD simulation results are not necessarily in good agreement with experimental results because the experimental variables are much more complex than the simple and ideal assumption used in the simulation. Micro-Raman data were

8 8 J. H. Kim et al. measured from the actual Si wafers with Cu TSVs. We do not need to assume the physical properties of micrometer scale structures. We simply analyze the experimental results as a function of TSV diameter, thermal cycles during various steps of thin film deposition. Thus the micro-raman based non-destructive characterization tool can more accurately provide the KOZ estimation that accounts for plastic relaxation of Cu to ensure device reliability. It is useful to understand the trends of KOZ as a function of TSV diameter using the TCAD simulation under very simple assumptions. However, it is even more important to experimentally measure the true influence of TSV diameters and process variables on Si stress and KOZ. By comparing the TCAD simulation results and micro-raman measurement results, we can gain additional insights into requirements towards successful design of Cu TSV structures, Cu EP chemistry and thermal cycles along the Cu process integration. The results of this study not only provides scientific knowledge of the mechanisms responsible for size dependency in Si stresses as well as inversion of Si stress with the deposition of the nitride overlayers, but the suggested keep off zones are expected to serve as a useful design guidelines for the industry. 4. CONCLUSIONS In this study, the effects of varying the diameter of Cu TSVs on the stress distribution in the surrounding Si, with and without repetitive thermal cycling, were studied using micro-raman spectroscopy. Experimental results were compared with elastic FEM analysis using TCAD simulation and obtained good agreement in trend. The local Si stresses were the highest for largest Cu via and the compressive stress sum, σ r + σ θ, was shown to decay with distance away from the via, as expected. The KOZ was determined based on micro-raman that indicated KOZ 2.5 to 6. μm for via sizes of 4 μm, 8 μm, respectively that was comparable but smaller than the KOZ based on elastic TCAD simulations. The results from this study are expected to serve as a guideline in designing and characterizing the future Cu TSVs in 3D integrated architecturing; currently 4-8 μm diameter Cu TSV arrays are being developed for HBM devices utilizing < 2 nm technology. As demonstrated in this study, smaller Cu TSVs have advantages in terms of smaller stress variations in Si as well as making use of smaller volume of the Cu via although one should also engineer the processing carefully to avoid void inclusions and adhesion issues between small Cu vias. ACKNOWLEDGEMENTS The authors would like to acknowledge the Financial support from National Research Foundation of Korea (NRF) under the grant No. NRF-216R1A2B The authors would also like to thank SK Hynix for providing samples and valuable insights to TCAD simulations. REFERENCES 1. B. Hoefflinger, CHIPS 22 VOL. 2, p. 143, Springer International Publishing, Switzerland (216). 2. A. Pizzagalli, T. Buisson, and R. Beica, 25th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 214), p. 78, IEEE, New York, USA (214). 3. A. Sharma, D. H. Jung, M. H. Roh, and J. P. Jung, Electron. Mater. Lett. 12, 856 (216). 4. S. K. Lin, H. M. Chang, C. L. Cho, Y. C. Liu, and Y. K. Kuo, Electron. Mater. Lett. 11, 687 (215). 5. B. Wu and A. Kumar, Appl. Phys. Rev. 1, 1114 (214). 6. C. Zhang, M. Jung, S. K. Lim, and Y. Shi, Proceedings of the International Conference on Computer-Aided Design, p. 371, IEEE Press, San Jose, USA (213). 7. A. P. Karmarkar, X. Xu, and V. Moroz, 29 IEEE International Reliability Physics Symposium, p. 682, IEEE, Montreal, Canada (29). 8. A. P. Karmarkar, X. Xu, S. Ramaswami, J. Dukovic, K. Sapre, and A. Bhatnagar, MRS Proceedings, p F9-8, Cambridge Univ Press, San Francisco, USA (21). 9. S.-K. Ryu, K. H. Lu, T. Jiang, J.-H. Im, R. Huang, and P. S. Ho, IEEE T. Device Mat. Re. 12, 255 (212). 1. M.-Y. Tsai, P. S. Huang, C.-Y. Huang, H. Jao, B. Huang, B. Wu, Y.-Y. Lin, W. Liao, J. Huang, and L. Huang, IEEE T. Electron Dev. 6, 2331 (213). 11. S. Van Huylenbroeck, Y. Li, N. Heylen, K. Croes, G. Beyer, E. Beyne, M. Brouri, S. Gopinath, P. Nalla, and M. Thorum, 215 IEEE 65th Electronic Components and Technology Conference (ECTC), p. 66, IEEE, Florida, USA (215). 12. A. D. Trigg, L. H. Yu, C. K. Cheng, R. Kumar, D. L. Kwong, T. Ueda, T. Ishigaki, K. Kang, and W. S. Yoo, Appl. Phys. Express 3, 8661 (21). 13. W. S. Yoo, K. Kang, T. Ueda, and T. Ishigaki, Appl. Phys. Express 2, (29). 14. S. Yoo, J. H. Kim, and S. M. Han, J. Micro-Nanolith. MEM. 13, 1125 (214). 15. A. Budiman, H.-A.-S. Shin, B.-J. Kim, S.-H. Hwang, H.-Y. Son, M.-S. Suh, Q.-H. Chung, K.-Y. Byun, N. Tamura, M. Kunz, and Y.-C. Joo Microelectron. Reliab. 52, 53 (212). 16. W. Kwon, D. Alastair, K. Teo, S. Gao, T. Ueda, T. Ishigaki, K. Kang, and W. Yoo, Appl. Phys. Lett. 98, (211). 17. X. Liu, P. Thadesar, C. Taylor, M. Kunz, N. Tamura, M. Bakir, and S. Sitaraman, Appl. Phys. Lett. 13, 2217 (213). 18. C. E. Murray, T. Graves-Abe, R. Robison, and Z. Cai, Appl. Phys. Lett. 12, (213). 19. S.-K. Ryu, T. Jiang, K. H. Lu, J. Im, H.-Y. Son, K.-Y. Byun, R. Huang, and P. S. Ho, Appl. Phys. Lett. 1, 4191 (212).

9 J. H. Kim et al J.-C. Bea, M. Murugesan, Y. Ohara, A. Noriki, H. Kino, K.-W. Lee, T. Fukushima, T. Tanaka, and M. Koyanagi, 3D System Integration, 29. 3DIC 29. IEEE International Conference on, p. 1, IEEE, San Francisco, USA (29). 21. S.-K. Ryu, Q. Zhao, M. Hecker, H.-Y. Son, K.-Y. Byun, J. Im, P. S. Ho, and R. Huang, J. Appl. Phys. 111, (212). 22. M. Kada, ECS Trans. 64, 1 (215). 23. S. Chen, F. Qin, J. Zhao, and T. An, Electronic Packaging Technology (ICEPT), th International Conference on, p. 195, IEEE, Wuhan, China (216). 24. A. Heryanto, W. Putra, A. Trigg, S. Gao, W. Kwon, F. Che, X. Ang, J. Wei, R. I. Made, and C. L. Gan, J. Electron. Mater. 41, 2533 (212). 25. C. Okoro, L. E. Levine, R. Xu, K. Hummler, and Y. Obeng, J. Appl. Phys. 115, (214). 26. H. Jin, J. Cai, Q. Wang, Y. Hu, and Z. Liu, Electronic Packaging Technology (ICEPT), th International Conference on, p. 164, IEEE, Wuhan, China (216). 27. K. Mackenzie, D. Johnson, M. DeVre, R. Westerman, and B. Reelfs, 27th Electrochemical Society Meeting, p. 148, Electrochemical Society, Quebec, Canada (25). 28. A. Danilewsky, J. Wittge, K. Kiefl, D. Allen, P. McNally, J. Garagorri, M. R. Elizalde, T. Baumbach, and B. K. Tanner, J. Appl. Crystallogr. 46, 849 (213). 29. T. Jiang, C. Wu, L. Spinella, J. Im, N. Tamura, M. Kunz, H.-Y. Son, B. G. Kim, R. Huang, and P. S. Ho, Appl. Phys. Lett. 13, (213). 3. M. Hecker, L. Zhu, C. Georgi, I. Zienert, J. Rinderknecht, H. Geisler, and E. Zschech, Characterization and Metrology for Nanoelectronics: 27 International Conference on Frontiers of Characterization and Metrology, p. 435, AIP Publishing, Gaithersburg, USA (27). 31. M. A. Rabie, C. Premachandran, R. Ranjan, M. I. Natarajan, S. F. Yap, D. Smith, S. Thangaraju, R. Alapati, and F. Benistant, IEEE International Interconnect Technology Conference, p. 23, IEEE, San Jose, USA (214). 32. X. Xu, A. Karmarkar, E. Zschech, R. Radojcic, V. Sukharev, and L. Smith, Stress Management for 3D ICS Using Through Silicon Vias-AIP Conference Proceedings, p. 53, American Institute of Physics, San Jose, USA (211). 33. M. A. Hopcroft, W. D. Nix, and T. W. Kenny, J. Microelectromech. Syst. 19, 229 (21). 34. S. K. Ryu, K. H. Lu, X. Zhang, J. H. Im, P. S. Ho, and R. Huang, IEEE T. Device Mat. Re. 11, 35 (211).

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Kuan H. Lu, Suk-Kyu Ryu*, Qiu Zhao, Klaus Hummler**,

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs Joydeep Mitra 1, Moongon Jung 2, Suk-Kyu Ryu 3, Rui Huang 3, Sung-Kyu Lim

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr Stress in Flip-Chip Bumps due to Package Warpage -- Matt Pharr Introduction As the size of microelectronic devices continues to decrease, interconnects in the devices are scaling down correspondingly.

More information

Supplementary Figures

Supplementary Figures Fracture Strength (GPa) Supplementary Figures a b 10 R=0.88 mm 1 0.1 Gordon et al Zhu et al Tang et al im et al 5 7 6 4 This work 5 50 500 Si Nanowire Diameter (nm) Supplementary Figure 1: (a) TEM image

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Thermal Stress and Strain in a GaN Epitaxial Layer Grown on a Sapphire Substrate by the MOCVD Method

Thermal Stress and Strain in a GaN Epitaxial Layer Grown on a Sapphire Substrate by the MOCVD Method CHINESE JOURNAL OF PHYSICS VOL. 48, NO. 3 June 2010 Thermal Stress and Strain in a GaN Epitaxial Layer Grown on a Sapphire Substrate by the MOCVD Method H. R. Alaei, 1 H. Eshghi, 2 R. Riedel, 3 and D.

More information

SENSOR DEVICES MECHANICAL SENSORS

SENSOR DEVICES MECHANICAL SENSORS SENSOR DEVICES MECHANICAL SENSORS OUTLINE 4 Mechanical Sensors Introduction General mechanical properties Piezoresistivity Piezoresistive sensors Capacitive sensors Applications INTRODUCTION MECHANICAL

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

Mechanical Characterization of High Aspect Ratio Silicon Nanolines

Mechanical Characterization of High Aspect Ratio Silicon Nanolines Mater. Res. Soc. Symp. Proc. Vol. 1086 2008 Materials Research Society 1086-U05-07 Mechanical Characterization of High Aspect Ratio Silicon Nanolines Bin Li 1, Huai Huang 1, Qiu Zhao 1, Zhiquan Luo 1,

More information

DUE TO THE coefficients of thermal expansion (CTE)

DUE TO THE coefficients of thermal expansion (CTE) 1194 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 8, AUGUST 2012 TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC Moongon

More information

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002 Design of Power Electronics Reliability: A New, Interdisciplinary Approach M.C. Shaw September 5, 2002 Physics Department California Lutheran University 60 W. Olsen Rd, #3750 Thousand Oaks, CA 91360 (805)

More information

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

Woon-Seong Kwon   Myung-Jin Yim Kyung-Wook Paik Woon-Seong Kwon e-mail: wskwon@kaist.ac.kr Myung-Jin Yim Kyung-Wook Paik Department of Materials Science and Engineering Korea Advanced Institute of Science and Technology Daejon 305-701, Korea Suk-Jin

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Mechanics of wafer bonding: Effect of clamping

Mechanics of wafer bonding: Effect of clamping JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 1 1 JANUARY 2004 Mechanics of wafer bonding: Effect of clamping K. T. Turner a) Massachusetts Institute of Technology, Cambridge, Massachusetts 0219 M. D. Thouless

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

Relaxation of a Strained Elastic Film on a Viscous Layer

Relaxation of a Strained Elastic Film on a Viscous Layer Mat. Res. Soc. Symp. Proc. Vol. 695 Materials Research Society Relaxation of a Strained Elastic Film on a Viscous Layer R. Huang 1, H. Yin, J. Liang 3, K. D. Hobart 4, J. C. Sturm, and Z. Suo 3 1 Department

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD N.BhargavaRamudu 1, V. Nithin Kumar Varma 2, P.Ravi kiran 3, T.Venkata Avinash 4, Ch. Mohan Sumanth 5, P.Prasanthi 6 1,2,3,4,5,6

More information

Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained SiGe and Si Films on Insulator

Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained SiGe and Si Films on Insulator Mat. Res. Soc. Symp. Proc. Vol. 809 004 Materials Research Society B3.6.1 Interference-enhanced Raman Scattering in Strain Characterization of Ultra-thin Strained Ge and Films on Insulator Haizhou Yin

More information

Raman spectroscopy at the edges of multilayer graphene

Raman spectroscopy at the edges of multilayer graphene Raman spectroscopy at the edges of multilayer graphene Q. -Q. Li, X. Zhang, W. -P. Han, Y. Lu, W. Shi, J. -B. Wu, P. -H. Tan* State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors,

More information

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler Metrology challenges in High volume ULK production 20.10.10 Ulrich Mayer, Michael Hecker, Holm Geisler outline ILD material choice in GLBALFUNDRIES New ULK processes and parameters Mechanical frontiers

More information

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Sensor devices Outline 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications Introduction Two Major classes of mechanical

More information

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS Christian Hollauer, Hajdin Ceric, and Siegfried Selberherr Institute for Microelectronics, Technical University Vienna Gußhausstraße

More information

Si/GaAs heterostructures fabricated by direct wafer bonding

Si/GaAs heterostructures fabricated by direct wafer bonding Mat. Res. Soc. Symp. Proc. Vol. 681E 2001 Materials Research Society Si/GaAs heterostructures fabricated by direct wafer bonding Viorel Dragoi, Marin Alexe, Manfred Reiche, Ionut Radu, Erich Thallner 1,

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Analytical Modeling of the Stress-Strain Distribution in a Multilayer Structure with Applied Bending

Analytical Modeling of the Stress-Strain Distribution in a Multilayer Structure with Applied Bending Analytical Modeling of the Stress-Strain Distribution in a Multilayer Structure with Applied Bending Ana Neves Vieira da Silva Prof. Doutora Susana Isabel Pinheiro Cardoso de Freitas Dep. Physics, IST,

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress

Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress 170 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 64, NO. 1, JANUARY 2017 Degradation Mechanisms of Amorphous InGaZnO Thin-Film Transistors Used in Foldable Displays by Dynamic Mechanical Stress Sang Myung

More information

Evolution of micro-structures on silicon substrates by surface. Osaka University

Evolution of micro-structures on silicon substrates by surface. Osaka University Evolution of micro-structures on silicon substrates by surface diffusion Koichi Sudoh The Institute of Scientific ifi and Industrial Research Osaka University Microstructure Fabrication applying Spontaneous

More information

A Transparent Perovskite Light Emitting Touch-

A Transparent Perovskite Light Emitting Touch- Supporting Information for A Transparent Perovskite Light Emitting Touch- Responsive Device Shu-Yu Chou, Rujun Ma, Yunfei Li,, Fangchao Zhao, Kwing Tong, Zhibin Yu, and Qibing Pei*, Department of Materials

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4 Issued: Wednesday, Mar. 5, 2014 PROBLEM SET #4 Due (at 9 a.m.): Tuesday Mar. 18, 2014, in the EE C247B HW box near 125 Cory. 1. Suppose you would like to fabricate the suspended cross beam structure below

More information

Potential-dependent dynamic fracture of nanoporous gold

Potential-dependent dynamic fracture of nanoporous gold Potential-dependent dynamic fracture of nanoporous gold Shaofeng Sun 1, Xiying Chen 1, Nilesh Badwe 1, Karl Sieradzki 1 * 1 Ira A. Fulton School of Engineering, Arizona State University, Tempe, Arizona

More information

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS International Journal of Control Theory and Computer Modeling (IJCTCM) Vol.3, No.2, March 213 NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS Abderrazzak

More information

Mechanical characterization of single crystal BaTiO 3 film and insitu. XRD observation of microstructure change due to

Mechanical characterization of single crystal BaTiO 3 film and insitu. XRD observation of microstructure change due to 76 Chapter 4 Mechanical characterization of single crystal BaTiO 3 film and insitu XRD observation of microstructure change due to mechanical loading 4.1 Introduction Ferroelectric materials have many

More information

Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in TSV-based 3D ICs

Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in TSV-based 3D ICs Chip/Package Co-Analysis of Thermo-Mechanical Stress and Reliability in -based 3D ICs Moongon Jung 1, David Z. Pan 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology, Atlanta, GA, USA

More information

Strong light matter coupling in two-dimensional atomic crystals

Strong light matter coupling in two-dimensional atomic crystals SUPPLEMENTARY INFORMATION DOI: 10.1038/NPHOTON.2014.304 Strong light matter coupling in two-dimensional atomic crystals Xiaoze Liu 1, 2, Tal Galfsky 1, 2, Zheng Sun 1, 2, Fengnian Xia 3, Erh-chen Lin 4,

More information

Ultrafast Lateral Photo-Dember Effect in Graphene. Induced by Nonequilibrium Hot Carrier Dynamics

Ultrafast Lateral Photo-Dember Effect in Graphene. Induced by Nonequilibrium Hot Carrier Dynamics 1 Ultrafast Lateral Photo-Dember Effect in Graphene Induced by Nonequilibrium Hot Carrier Dynamics Chang-Hua Liu, You-Chia Chang, Seunghyun Lee, Yaozhong Zhang, Yafei Zhang, Theodore B. Norris,*,, and

More information

Lecture 9. Strained-Si Technology I: Device Physics

Lecture 9. Strained-Si Technology I: Device Physics Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer,

More information

Stress Test Based on Planar Flexible Eddy Current Sensor

Stress Test Based on Planar Flexible Eddy Current Sensor 5th International Symposium on NDT in Aerospace, 13-15th November 2013, Singapore Stress Test Based on Planar Flexible Eddy Current Sensor TANG Ying 1, LI Ji 1, ZHAO Jianqiang 1, HE Yunze 1, TAN Xianglin

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

EE 527 MICROFABRICATION. Lecture 5 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 5 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 5 Tai-Chang Chen University of Washington MICROSCOPY AND VISUALIZATION Electron microscope, transmission electron microscope Resolution: atomic imaging Use: lattice spacing.

More information

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES Tiao Zhou, Ph.D. Southern Methodist University Dallas, TX, USA tiaoz@smu.edu Zhenxue Han, Ph.D. University

More information

3.22 Mechanical Properties of Materials Spring 2008

3.22 Mechanical Properties of Materials Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 3.22 Mechanical Properties of Materials Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. Quiz #1 Example

More information

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Kiwon Lee, Hyoung Joon Kim, Il Kim, and Kyung Wook Paik Nano Packaging and Interconnect

More information

Prediction of Elastic Constants on 3D Four-directional Braided

Prediction of Elastic Constants on 3D Four-directional Braided Prediction of Elastic Constants on 3D Four-directional Braided Composites Prediction of Elastic Constants on 3D Four-directional Braided Composites Liang Dao Zhou 1,2,* and Zhuo Zhuang 1 1 School of Aerospace,

More information

>1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene

>1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene Supporting Information >1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene Min-Ho Seo, Jae-Hyeon Ko, Jeong Oen Lee,, Seung-Deok Ko,, Jeong Hun Mun, Byung Jin Cho, Yong-Hyun

More information

A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations A holistic analysis of circuit timing variations in 3D-ICs with thermal and -induced stress considerations Sravan K. Marella, Sanjay V. Kumar, and Sachin S. Sapatnekar Department of Electrical and Computer

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Thermal characterization of Au-Si multilayer using 3- omega method

Thermal characterization of Au-Si multilayer using 3- omega method Thermal characterization of Au-Si multilayer using 3- omega method Sunmi Shin Materials Science and Engineering Program Abstract As thermal management becomes a serious issue in applications of thermoelectrics,

More information

Strain and Temperature Dependence of Defect Formation at AlGaN/GaN High Electron Mobility Transistors on a Nanometer Scale

Strain and Temperature Dependence of Defect Formation at AlGaN/GaN High Electron Mobility Transistors on a Nanometer Scale Strain and Temperature Dependence of Defect Formation at AlGaN/GaN High Electron Mobility Transistors on a Nanometer Scale Chung-Han Lin Department of Electrical & Computer Engineering, The Ohio State

More information

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2016 Electronic Supplementary Information Super Flexible, High-efficiency Perovskite

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING CAMBRIDGE, MASSACHUSETTS 02139

MASSACHUSETTS INSTITUTE OF TECHNOLOGY DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING CAMBRIDGE, MASSACHUSETTS 02139 MASSACHUSTTS INSTITUT OF TCHNOLOGY DPARTMNT OF MATRIALS SCINC AND NGINRING CAMBRIDG, MASSACHUSTTS 0239 322 MCHANICAL PROPRTIS OF MATRIALS PROBLM ST 4 SOLUTIONS Consider a 500 nm thick aluminum ilm on a

More information

Proceedings MEMS Inertial Switch for Military Applications

Proceedings MEMS Inertial Switch for Military Applications Proceedings MEMS Inertial Switch for Military Applications Hyo-Nam Lee 1, Seung-Gyo Jang 1, *, Sungryeol Lee 2, Jeong-Sun Lee 2 and Young-Suk Hwang 2 1 Agency for Defence Development, Daejeon, Korea; lhn4577@add.re.kr

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanical

More information

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC)

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) ZAINUDIN KORNAIN 1, AZMAN JALAR 2,3, SHAHRUM ABDULLAH 3, NOWSHAD

More information

Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids

Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids W. Peng & Y.-T. Hsia Seagate Technology, U.S.A. Abstract At high temperature a layered solid undergoes intense thermal loading

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air Materials Transactions, Vol. 49, No. 7 (28) pp. 18 to 112 Special Issue on Lead-Free Soldering in Electronics IV #28 The Japan Institute of Metals Effect of Surface Contamination on Solid-State Bondability

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

DEVELOPMENT OF MEASURING SYSTEM FOR STRESS BY MEANS OF IMAGE PLATE FOR LABORATORY X-RAY EXPERIMENT

DEVELOPMENT OF MEASURING SYSTEM FOR STRESS BY MEANS OF IMAGE PLATE FOR LABORATORY X-RAY EXPERIMENT Copyright JCPDS - International Centre for Diffraction Data 003, Advances in X-ray Analysis, Volume 46. 6 DEVELOPMENT OF MEASURING SYSTEM FOR STRESS BY MEANS OF IMAGE PLATE FOR LABORATORY X-RAY EXPERIMENT

More information

Supporting Information

Supporting Information Supporting Information Modulation of PEDOT:PSS ph for Efficient Inverted Perovskite Solar Cells with Reduced Potential Loss and Enhanced Stability Qin Wang 1,2, Chu-Chen Chueh 1, Morteza Eslamian 2 * and

More information

Impact of Uneven Solder Thickness on IGBT Substrate Reliability

Impact of Uneven Solder Thickness on IGBT Substrate Reliability Impact of Uneven Solder Thickness on IGBT Substrate Reliability Hua Lu a, Chris Bailey a, Liam Mills b a Department of Mathematical Sciences, University of Greenwich 30 Park Row, London, SE10 9LS, UK b

More information

Omnidirectionally Stretchable and Transparent Graphene Electrodes

Omnidirectionally Stretchable and Transparent Graphene Electrodes Supporting Information for: Omnidirectionally Stretchable and Transparent Graphene Electrodes Jin Yong Hong,, Wook Kim, Dukhyun Choi, Jing Kong,*, and Ho Seok Park*, School of Chemical Engineering, Sungkyunkwan

More information

Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method

Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method A.N. Gulluoglu 1,C.T.Tsai 2 Abstract: Twins in growing crystals are due to excessive thermal stresses

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

micromachines ISSN X

micromachines ISSN X Micromachines 2014, 5, 359-372; doi:10.3390/mi5020359 Article OPEN ACCESS micromachines ISSN 2072-666X www.mdpi.com/journal/micromachines Laser Micro Bending Process of Ti6Al4V Square Bar Gang Chen and

More information

Ratcheting deformation in thin film structures

Ratcheting deformation in thin film structures Ratcheting deformation in thin film structures Z. SUO Princeton University Work with MIN HUANG, Rui Huang, Jim Liang, Jean Prevost Princeton University Q. MA, H. Fujimoto, J. He Intel Corporation Interconnect

More information

Design And Analysis of Microcantilevers With Various Shapes Using COMSOL Multiphysics Software

Design And Analysis of Microcantilevers With Various Shapes Using COMSOL Multiphysics Software Design And Analysis of Microcantilevers With Various Shapes Using COMSOL Multiphysics Software V. Mounika Reddy 1, G.V.Sunil Kumar 2 1,2 Department of Electronics and Instrumentation Engineering, Sree

More information

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given Supplementary Figure 1. Pressure sensor fabrication schematics. Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given in Methods section. (a) Firstly, the sacrificial

More information

Supporting Information. Anisotropic Electron-Phonon Interactions in Angle- Resolved Raman Study of Strained Black

Supporting Information. Anisotropic Electron-Phonon Interactions in Angle- Resolved Raman Study of Strained Black Supporting Information Anisotropic Electron-Phonon Interactions in Angle- Resolved Raman Study of Strained Black Phosphorus Weinan Zhu,* 1 Liangbo Liang,* 2 Richard H. Roberts, 3 Jung-Fu Lin, 3,4 and Deji

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

Homogenization on Multi-Materials Elements: Application to Printed Circuit Boards and Warpage Analysis

Homogenization on Multi-Materials Elements: Application to Printed Circuit Boards and Warpage Analysis Homogenization on Multi-Materials Elements: Application to Printed Circuit Boards and Warpage Analysis Manuel Araújo 1,a, J. L. Alves 1, Paulo Silva 1 and Pedro Delgado 2 1 MEMS, University of Minho, Dep.

More information

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen HAN Qing ( ), WANG Jing ( ), ZHANG Lianzhu ( ) College of Physics Science and Information Engineering, Hebei Normal University,

More information

Curvature of a Cantilever Beam Subjected to an Equi-Biaxial Bending Moment. P. Krulevitch G. C. Johnson

Curvature of a Cantilever Beam Subjected to an Equi-Biaxial Bending Moment. P. Krulevitch G. C. Johnson UCRL-JC-30440 PREPRINT Curvature of a Cantilever Beam Subjected to an Equi-Biaxial Bending Moment P. Krulevitch G. C. Johnson This paper was prepared for submittal to the Materials Research Society Spring

More information

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding

Characteristics of Thermosonic Anisotropic Conductive Adhesives (ACFs) Flip-Chip Bonding Materials Transactions, Vol. 51, No. 10 (2010) pp. 1790 to 1795 Special Issue on Lead-Free and Advanced Interconnection Materials for Electronics #2010 The Japan Institute of Metals Characteristics of

More information

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr 10.1149/05305.0203ecst The Electrochemical Society Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr Institute for

More information

M R S Internet Journal of Nitride Semiconductor Research

M R S Internet Journal of Nitride Semiconductor Research Page 1 of 6 M R S Internet Journal of Nitride Semiconductor Research Volume 9, Article 7 The Ambient Temperature Effect on Current-Voltage Characteristics of Surface-Passivated GaN-Based Field-Effect Transistors

More information

Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin Oscillations

Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin Oscillations 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Elastic Constants and Microstructure of Amorphous SiO 2 Thin Films Studied by Brillouin

More information

A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan ZHAO Zhen-Yu LI Peng

A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan ZHAO Zhen-Yu LI Peng International Conference on Automation, Mechanical Control and Computational Engineering (AMCCE 2015) A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan

More information

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging Anis Rahman and Aunik K. Rahman Applied Research & Photonics 470 Friendship Road, Suite 10 Harrisburg, PA 17111,

More information

Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms. to Photodetector Applications

Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms. to Photodetector Applications Supplementary Information: Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms to Photodetector Applications Qitao Zhou, Jun Gyu Park, Riming Nie, Ashish Kumar Thokchom, Dogyeong Ha, Jing Pan,

More information

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. References IEICE Electronics Express, Vol.* No.*,*-* Effects of Gamma-ray radiation on

More information