GRAPHENE, which is a monolayer of carbon atoms

Size: px
Start display at page:

Download "GRAPHENE, which is a monolayer of carbon atoms"

Transcription

1 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY Graphene Nanoribbon FETs: Technology Exploration for Performance and Reliability Mihir R. Choudhury, Student Member, IEEE, Youngki Yoon, Member, IEEE, JingGuo, Member, IEEE, and Kartik Mohanram, Member, IEEE Abstract Graphene nanoribbon FETs (GNRFETs) are promising devices for beyond-cmos nanoelectronics due to their excellent carrier-transport properties and potential for largescale processing and fabrication. This paper combines atomistic quantum-transport modeling with circuit simulation to perform technology exploration for GNRFET circuits. Results indicate that GNRFETs offer significant gains over scaled CMOS at the 22-, 32-, and 45-nm nodes, with over improvement in the energydelay product at comparable operating points. A quantitative study of the effects of variations and defects on the performance and reliability of GNRFET circuits is also presented. Simulation results indicate that whereas GNRFET circuits promise higher performance, lower energy consumption, and comparable reliability at similar operating points to scaled CMOS circuits, they are more susceptible to variations and defects. These results motivate significant engineering, modeling, and simulation challenges facing the device and computer-aided design (CAD) communities involved in graphene electronics research. Index Terms Circuit design, defects, energy, energy-delay product, field-effect transistor, graphene, inverter, latch, nanoribbons, performance, quantum transport, reliability, ring oscillator, variability. I. INTRODUCTION GRAPHENE, which is a monolayer of carbon atoms packed into a 2-D honeycomb lattice, has emerged as a promising candidate material for beyond-cmos nanoelectronics. Graphene-based devices offer high mobility for ballistic transport, high carrier velocity for fast switching, monolayer thin body for optimum electrostatic scaling, and excellent thermal conductivity [1] [6]. The potential to produce wafer-scale graphene films with full planar processing for devices promises high integration potential with conventional CMOS fabrication processes, which is a significant advantage over carbon nanotubes (CNTs) [6]. Manuscript received October 18, 2009; revised June 2, 2010; accepted July 19, Date of publication September 9, 2010; date of current version July 8, This work was supported in part by the National Science Foundation under Grant CCF and Grant CCF , and in part by the Office of Naval Research. The review of this paper was arranged by Associate Editor R. Lake. M. R. Choudhury and K. Mohanram are with the Department of Electrical and Computer Engineering, Rice University, Houston, TX USA ( mihir@rice.edu; kmram@rice.edu). Y. Yoon was with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL USA. He is now with the University of California, Berkeley, CA USA ( ykyoon@ufl.edu). J. Guo is with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL USA ( guoj@ufl.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TNANO Although 2-D graphene is a zero band-gap semi-metal, a band-gap opens when a FET channel is fabricated on a nanometer-wide graphene nanoribbon (GNR) [6] [9]. In general, the band-gap of a GNR is inversely proportional to its width, and width confinement down to the sub-10-nm scale is essential to open a band-gap that is sufficient for room temperature transistor operation. Unlike CNTs, which are mixtures of metallic and semiconducting materials, recent samples of chemically derived sub-10-nm GNRs have exhibited all semiconducting behavior [9], generating considerable excitement for transistor applications. The two main types of GNRs, with the edges of the ribbons assumed passivated by hydrogen atoms, are armchairedge and zigzag-edge GNRs (AGNRs and ZGNRs). ZGNRs are predicted to be metallic by a simple tight-binding model, but a band-gap exists in more advanced, spin-unrestricted simulations [10]. For digital circuits applications, the focus has been on using AGNRs as the channel material. AGNRs have an electronic structure that is closely related to that of zigzag CNTs. The band-gap in AGNRs originates from quantum confinement, and edge effects play a critical role [10]. This paper first provides an overview of Schottky barrier (SB) GNRFETs with intrinsic AGNR channels (GNRFETs henceforth, unless specified otherwise). Unlike traditional MOSFETs, SBFETs use metal or metal silicide contacts at the source and drain ends, leading to the formation of SBs at these contacts. In SBFETs, the gate modulates the quantum tunneling current through the SB [11]. Recently fabricated GNRFETs with channel lengths of the order of 200 nm delivered about 21% of the ballistic current at V D = 1 V, and about 4.5% of the ballistic current at low V D < 0.1 V [12]. Clearly, these are preliminary devices, and it would be natural to expect further advances such as the integration of ultrathin high-κ dielectrics [13] and aggressive channel length scaling to move the performance of these devices closer to the ballistic limit, with switching speeds, I ON /I OFF, and sub-threshold slope that is competitive with scaled CMOS. Although most discussions in this paper focus on SB-type GNRFETs [14], [15], simulation studies of MOSFET-type GNRFETs with doped reservoirs have also been reported in [16] [18]. In [19] and [20], SB-type GNRFETs were also compared to MOSFET-type GNRFETs. There is consensus that in ideal devices, MOSFET-type GNRFETs show better device characteristics over SB-type GNRFETs: larger maximum achievable I ON /I OFF, larger I ON, larger transconductance, and better saturation behavior. Further, this paper presents extensive results on technology exploration for GNRFET circuits. It couples atomistic quantum X/$ IEEE

2 728 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY 2011 transport modeling in intrinsic GNRFETs with a circuit simulator that includes parasitics and nonidealities that are necessary to capture extrinsic effects in fabricated GNRFETs. At the device level, GNRFETs are simulated by self-consistently solving an atomistic quantum transport equation based on the nonequilibrium Green s function (NEGF) formalism with the 3-D Poisson s equation. These rigorous simulations provide I V and Q V data for intrinsic GNRFETs, which are integrated into a circuit-level simulation framework based on lookup tables for technology exploration of GNRFET circuits. The simulator is used to study the delay, power, energy, and noise margins of representative GNRFET circuits including inverters, ring oscillators, and latches. Results indicate that GNRFETs offer significant gains over scaled CMOS at the 22-, 32-, and 45-nm nodes, with over improvement in the energy-delay product (EDP) at comparable operating points. Significant technical challenges, however, remain to be met. Due to the atomically thin and nanometer-wide geometries of GNRs, variability and defects are projected to have a larger impact on circuit performance and reliability in comparison to conventional silicon devices. The framework is extended to perform a quantitative study of the effects of variations and defects in intrinsic GNRFETs on the performance and reliability of GNRFET circuits. Independent variations in GNR width, which is the most common source of variation, and independent charge impurities in the gate oxide, which is the most common source of defects, are considered in this paper. Variation in GNR width affects GNRFET I ON /I OFF nonlinearly, which in turn impacts circuit performance, power, and noise margins. Simulation results indicate that variation in GNR width can increase the delay, static power, and dynamic power of inverters by 6% 77%, 313% 643%, and 37% 215%, respectively, while reducing the noise margin by 27% 80%. Charge impurities affect intrinsic GNRFET characteristics nonlinearly and in an asymmetric manner, depending upon the polarity of the charge. Simulation results indicate that charge impurities can increase the delay, static power, and dynamic power of inverters by 8% 92%, 11% 37%, and 5% 19%, respectively, while reducing the noise margin by 14% 40%. When simultaneous variations in width and charge impurities are considered, variations in width dominate, though the effects are exacerbated by the presence of charge impurities. Latch noise margins and static power also exhibit significant sensitivity to variations and defects, with near-zero noise margins and over 5 increase in static power in the worst case. Low noise margins may result in higher error rates than scaled CMOS, though the redundancy required for error detection and correction as well as the high static power may be offset by the advantages of high density and low power that GNRFETs offer over scaled CMOS. In summary, results show that graphene-based electronics has the potential for smaller, faster, and lower energy switches than scaled CMOS. The results also motivate significant engineering, modeling, and simulation challenges facing the device and computer-aided design (CAD) communities to make the performance and reliability of GNRFETs suitable for large-scale integration. Fig. 1. NEGF formalism for a generic transistor. This paper is an extended version of [21] and is organized as follows. Section II provides a background in quantum simulation of intrinsic GNRFETs. Section III describes technology exploration for GNRFET circuits based on a large-signal circuit model for extrinsic GNRFETs. Sections IV and V discuss how variations and defects impact the performance and reliability of GNRFET circuits. Section VI is a conclusion. II. SIMULATION OF INTRINSIC GNRFETS As devices scale with technology, a powerful quantum transport simulation framework based on the NEGF formalism provides an ideal approach for bottom-up device modeling and simulation [22] for the following reasons: 1) atomistic descriptions of devices can be readily implemented; 2) open boundaries can be rigorously treated; and 3) multiphenomena (e.g., inelastic scattering and light emission) can be modeled. Fig. 1 summarizes the procedure to apply the NEGF approach to a generic transistor. The transistor channel, which can be a piece of silicon, a GNR, a nanowire, or a single molecule, is connected to the source and drain contacts. The gate modulates the conductance of the channel. One first identifies a suitable basis set and derives the Hamiltonian matrix H for the isolated channel. Then, the self-energy matrices Σ 1, Σ 2, and Σ S, which describe how the channel couples to the source contact, the drain contact, and the dissipative processes, respectively, are computed. Then, the retarded Green s function, including selfconsistent electrostatic potential U, is computed as G r (E) =[(E + i0 + )I H U Σ 1 Σ 2 Σ S ] 1. (1) Finally, the physical quantities of interest, such as the charge density and current, are computed from the Green s function. In this paper, the DC characteristics of ballistic GNRFETs are simulated by solving the open-boundary Schrödinger equation using the NEGF formalism in the atomistic p z orbital basis set self-consistently with Poisson s equation. Ballistic transport (no scattering) is assumed, which sets Σ S =0in (1). The superior carrier transport properties of graphene [23] promises ballistic transport for a nanoscale channel. The simulation results establish the device performance at the ballistic limit. Whereas further work is needed to compare theory with experiment as more data for GNRFETs becomes available, it has been demonstrated previously that a CNTFET with a 50-nm-long channel delivers a near ballistic DC current [24]. An ab initio treatment of the metal contacts is not practical for efficient device simulation; therefore, a phenomenological treatment of the metal-semiconductor contacts has been used in this study. The model, which has been used to simulate the metal-

3 CHOUDHURY et al.: GRAPHENE NANORIBBON FETs: TECHNOLOGY EXPLORATION FOR PERFORMANCE AND RELIABILITY 729 Fig. 2. (a) I V characteristics and (b) V T extraction at low V D for ideal N =12GNRFETs. CNT [25] and the metal-gnr contacts [26] earlier, describes the metal-semiconductor contacts by two input parameters. The first parameter is the SB height, which determines the band discontinuity at the metal-semiconductor interface. The second parameter is the density of the metal-induced gap states, which determines the surface Green s function of the metal contact. The model treats the contact at the level of the Tersoff theory for metal-semiconductor contacts [27], [28], and has been validated by experiments for metal-cnt contacts [24]. For a detailed derivation, see [29]. Since the electric field varies in all dimensions for the simulated device structure, the 3-D Poisson s equation is used and numerically solved using the finite-element method (FEM). The FEM is efficient to treat a device with multiple gates because it can easily handle an arbitrary grid for complex geometry. A p z orbital coupling parameter of 2.7 ev is used and the tight-binding model [10] is used for band-gap calculations. The simulations in this paper consider a 15-nm-long AGNR as the channel material, and the GNR width is varied from N =9to N =18, where N denotes GNR index [30]. Double gate geometry is implemented through a 1.5-nm-thick SiO 2 gate insulator (ɛ r = 3.9). The source and drain contacts are metals, and the SB height is equal to half the band-gap of the channel GNR (Φ Bn = Φ Bp = E g /2). The gate modulates the quantum tunneling current through the SB at the source end of the channel, and the device operates as a SBFET [11]. The I V device characteristics for the ideal N = 12 GNRFET for different drain voltages is shown in Fig. 2(a). Ambipolar characteristics due to both electron and hole conduction are clearly seen, and the drain voltage exponentially increases the minimum leakage current. If I ON is divided by the channel width, it is 6300 μa/μm forthen =12GNRFET when V D is 0.5 V. As drain voltage increases, SBFETs show linear behavior in the overall range of gate bias. For example, the drain current and the channel charge for V D = 0.75 V are linearly proportional to V G, whereas those for V D = 0.25 V show exponential behavior in the sub-threshold region. As shown in Fig. 2(a), the I V characteristics exhibit electron conduction at high gate voltages and hole conduction at low gate voltages, with minimum leakage current at V G V D /2. The ambipolar conduction is explained by observing that the device operates as a SBFET. The threshold voltage V T of the GNRFET is obtained using traditional V T extraction methods for MOS devices from the I V data [31]. When a low drain voltage V DS is applied to an n-type GNRFET, the slope of the I V curve at a high gate voltage is said to intersect the V G axis at the threshold voltage V T. This is illustrated for the I V curve in Fig. 2(b), where the V T is approximately 0.3 V. The leakage current at V G =0is large, but the threshold voltage of the FET can be tuned by engineering the gate metal material to shift the I V curves along the x-axis, thereby moving the point with minimum leakage current to V G =0[32]. Note that when the offset is applied to achieve minimum leakage, V T changes by an amount equal to the offset, illustrated by the I V curve for an offset of 0.2 V and a V T of approximately 0.1 V in the same figure. Finally, both n-type and p-type FETs can be achieved on the same GNRFET due to the ambipolar I V characteristics. This has already been experimentally demonstrated in the context of CNTFETs [32], which exhibit ambipolar I V characteristics qualitatively similar to GNRFETs. III. SIMULATION OF GNRFET CIRCUITS A simulator based on table lookup techniques was implemented to simulate circuits built with GNRFETs. The simulator uses the drain current I D (V G,V D ) and channel charge Q(V G,V D ) computed for the intrinsic GNRFET using the quantum-transport simulations described in Section II. These values were used to populate a lookup table at discrete voltage steps of V GS and V DS ranging from 0 to 0.75 V. The intrinsic gate and drain capacitances C GD,i and C GS,i vary with the gate and drain voltages. These values can be computed and stored in the lookup table by differentiating the channel charge w.r.t. V GS and V DS, respectively. Thus, C GD,i = Q/ V DS and C G,i = C GS,i + C GD,i = Q/ V GS, which yields C GS,i = Q/ V GS Q/ V DS. The extrinsic n-type and p-type GNRFETs were modeled by adding the parasitic capacitances and contact resistances around the intrinsic GNR, as shown in Fig. 3(a). Two strategies fabricating very narrow contacts to an individual GNR or fabricating multiple GNRs in an array for a wide contact are currently under investigation in the device community. The

4 730 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY 2011 Fig. 3. (a) Circuit model for GNRFET simulation. (b) EDP, frequency, and SNM contours for a 15-stage ring oscillator. GNRFET considered in this paper is comprised of four equidistant GNRs that form the channel. The pitch refers to the spacing between the neighboring GNRs in the GNRFET channel, and usually ranges from the GNR width to 1 μm as annotated in the figure. In this paper, we assume that an individual GNR channel has a contact width of 10 nm, and that this equals the pitch in the GNR array. Thus, a GNRFET with four GNRs has a total contact width of 40 nm. The current in the GNRFET is four times the current in the individual GNR channel, and the parasitics are also four times that for an individual GNR. Thus, the parasitic junction capacitances C GD,e and C GS,e are given by af/nm times the total GNRFET contact width of 40 nm. It is assumed that the substrate is thick enough and that the extrinsic parasitic capacitances C DB,e and C SB,e are negligible. The contact capacitance at the device terminals and interconnect capacitance are assumed negligible and are not considered in this paper. The contact resistances were assumed to range from 1 to 100 kω, with a nominal value of 10 kω. The SB contact between the metal source/drain electrodes and the GNR channel, which is modulated by the gate voltage, is modeled in the NEGF quantum-transport calculation. The approach to modeling the SB contact in this study is standard, as used by many groups, e.g., [19], [33]. The parasitic resistance component in Fig. 3(a) accounts for additional parasitic resistance, such as the diffusion resistance of the thin metal films. The parasitic capacitances were also determined using a 2-D capacitance model. The values used in this paper for the resistive and capacitive parasitics are in the ballpark as characterized by earlier experiments. The simulation model has been calibrated to experimental data with very good agreement in the context of CNTFETs [24]. A. Technology Exploration The tradeoff between delay, energy, and noise robustness can be explored for different values of V DD and V T for GNRFET circuits. We choose a 15-stage ring oscillator, where each inverter drives a fanout-of-4 load as the representative circuit for this study. The EDP has been widely used to explore the tradeoffs between delay and power in CMOS circuits. The EDP captures how delay can be reduced by increasing V DD and reducing V T, dynamic power can be reduced by lowering V DD, and static power can be reduced by increasing V T. The EDP attains a minimum at an intermediate value of V T and V DD, and can be used for technology exploration of GNRFET circuits. Fig. 3(b) presents the EDP contours (solid curves) for the ring oscillator. The optimum value of the EDP is achieved at V DD = 0.15 V and V T = 0.08 V, and is conventionally the preferred operating point for the circuit. However, this optimum corresponds to a low frequency of operation. By plotting the frequency of operation that can be achieved for a 15-stage ring oscillator for each V DD V T combination, it is possible to explore performance-energy tradeoffs further using the following observation. For a given desired frequency of operation, the optimum EDP curve is tangential to the frequency curve. For example, for a desired frequency of 3 GHz for the 15-stage ring oscillator, point A in Fig. 3(b) suggests that the minimum EDP is attained at V DD = 0.3 V and V T = 0.06 V. Reliability can be introduced as an additional figure-of-merit to determine optimum EDP for a desired frequency of operation by drawing the static noise margin (SNM) contours for an inverter. These contours represent points of equal SNM for each V DD V T combination. Point A in the figure lies on the 0.1-V SNM contour, which is unacceptable for most applications.

5 CHOUDHURY et al.: GRAPHENE NANORIBBON FETs: TECHNOLOGY EXPLORATION FOR PERFORMANCE AND RELIABILITY 731 TABLE I DELAY,EDP,AND SNMS FORGNRFETS AND CMOS TABLE II FREQUENCY AND EDP VERSUS PITCH AND NUMBER OF GNRS When a desired SNM is identified, the intersection of the desired frequency and SNM contours identifies a region for reliable operation that achieves desired performance. The intersection of the two curves determines the point of minimum EDP. For example, for a SNM of 0.15 V at 3 GHz, the optimum V DD V T combination is given by point B with V DD =0.4 V and V T = 0.13 V in Fig. 3(b). This operating point offers a good tradeoff between EDP, performance, and noise. Note that unlike CMOS, increasing V T does not necessarily help tradeoff performance for higher noise robustness in GNRFET circuits. For example, the operating point C with V DD = 0.4 V and V T = 0.23 V has the same EDP and noise margins as point B in the figure. However, the frequency of the ring oscillator for operating point B is 40% greater than that for operating point C, as seen in the figure. Higher V T at C is achieved by decreasing the offset as shown in Fig. 2, which corresponds to operating the ring oscillator at a point other than that of minimum leakage, as explained in Section II. As a result, the resulting potential divider effect within the GNRFET inverter limits noise margins and decreases performance as observed in the figure. Comparison to Scaled CMOS: Table I compares the performance, EDP, and SNM of the 15-stage ring oscillator for GNRFETs and scaled CMOS nodes (simulated using the predictive technology model (PTM) [34]). For all scaled CMOS nodes, V DD = 0.8 V provides the best performance, V DD = 0.4 V consumes the least power, and V DD = 0.6 V provides the best tradeoff between performance and power. For CMOS technology based on the PTM, the intrinsic capacitance of a fanout-of-4 inverter is about one-third of the total capacitance. Hence, the intrinsic capacitance contributes to about 33% of the dynamic power component of EDP. In contrast, for GNRFETs, the values of the intrinsic gate-source and gate-drain capacitances depend on the operating point and range from 6% 8% of the fanout load capacitance for a fanout-of-4 inverter. The contribution of the intrinsic and fanout load capacitances to the EDP also depends on technology-dependent factors, such as source and drain contact resistances. When the contact resistance is small (1 kω), the circuit switches faster and the intrinsic capacitances account for 8% 10% of the dynamic power component of EDP. Similarly, for contact resistance of 10 kω and 100 kω, the intrinsic capacitances account for roughly 15% 18% and 22% 25% of EDP. For CMOS technology based on the PTM, at V DD = 0.6 V, the leakage power dissipated when gate-source voltage is zero is a negligible fraction of the total power dissipation, and hence, a negligible fraction of the EDP (about 0.004%). For GNRFET technology, since our framework does not consider tunneling currents, no leakage power is dissipated when the gate-source voltage is zero. A striking difference between the scaled CMOS nodes and GNRFETs is that the optimum EDP for scaled CMOS is higher than the EDP for GNRFETs at operating point B. GNRFETs have lower noise margins in comparison to scaled CMOS and are also more susceptible to variability and defects as discussed in the remainder of this paper. However, the significant difference in EDPs leaves enough headroom for robust design with GNRFETs to overcome these challenges. Design Parameters (Pitch and Number of GNRs): Table II shows the performance and EDP of a 15-stage ring oscillator for different values of pitch and for different number of GNRs in the array of a GNRFET device. Table II shows that increasing the pitch decreases the frequency of the ring oscillator and increases the EDP. This trend is observed because increasing the pitch increases the extrinsic parasitic capacitance within the GNRFET. However, an interesting observation is that for the same pitch, the frequency of the ring oscillator decreases and the EDP increases, as we increase the number of GNRs in the array. This is because the extrinsic capacitance is proportional to the contact width, which is given by the product of the number of GNRs and the pitch. Hence, as the number of GNRs in the array increases, the extrinsic capacitance increases. The drive current of the channel also increases as we increase the number of GNRs in the array. However, the effect of increase in extrinsic capacitance is more dominant, and thus the frequency of the ring oscillator decreases. The screening effect of the GNRs has been neglected in this paper. This is a reasonable assumption for values of pitch used in this paper. However, it has been shown in [35] that screening effect in CNTFETs cannot be neglected for smaller values of pitch. A similar study of screening effect in GNRFETs is necessary and is a potential area of future research. IV. INTRINSIC GNRFETS: VARIATIONS AND DEFECTS Variability and defects are expected to play an important role in graphene electronics in practice. Variability, for example, can come from the difficulty in control of the GNR width or oxide thickness in fabrication. The charge impurity in the gate insulator, lattice vacancy, or edge roughness [36] of GNR may be a defect that results in a large performance variation. Our atomistic NEGF simulation of a wide variety of variability and defect mechanisms have identified the important role of the GNR width variation and the effect of Coulomb charge impurities in graphene, which are the subject of this study. Other defect and variability mechanisms exist and should be explored in future

6 732 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY 2011 Fig. 4. I V characteristics for different GNR widths, where the GNR width is about 1.23 Å times its index, as labeled in the figure. studies, but we expect the effects are qualitatively similar and can be explored by readily extending the bottom-up simulation framework presented here. The occurrence of variation and defect events in the GNR array channel of a GNRFET is modeled and analyzed in two ways. In the first case, it is assumed that only one GNR in the array is subject to a variation, defect, or combination thereof. The total current is given by the sum of the currents in the GNRs, nominal or otherwise. Since the pitch is larger than the gate oxide thickness, an impurity near one GNR has a negligible effect on other GNRs because the impurity charge electric field is screened by the gate. In practice, multiple defects and variability of all GNRs in the array may exist, and this is handled in the second case by assuming that each GNR in the channel experiences the same variation, defect, or combination thereof. The results in this study, therefore, establish lower and upper limits for the effects of variations and defects on GNRFET circuits. Future studies are necessary to address multiple variability and defect effects, but we expect the broader conclusions to remain the same. A. GNR Width Variations The band-gap in AGNRs originates from quantum confinement, and edge effects play a critical role [10]. The band-gap of the semiconducting GNR is, in general, inversely proportional to the GNR width. Since device characteristics are very sensitive to the band-gap of channel material, the width effect is critical to GNRFET performance. GNR width is proportional to the GNR index. GNRs with index values of 9, 12, 15, and 18 are used to study the effect of variations in width. Starting with the minimum GNR index of N = 9, which has a width of 1.1 nm, the index is increased in steps of 3, or equivalently, by an incremental width of 3.7 Å. Fig. 4 illustrates how variability in GNR width affects device I D V G characteristics. The band-gap of the N = 18 GNR is too small to achieve a small leakage current, whereas that of the N = 9 GNR is sufficiently large so that I ON /I OFF is as high as However, the capacitance of a wider GNRFET is large due to the larger surface of the GNR channel. The N = 18 GNRFET has 50% larger intrinsic channel capacitance than the N = 9 GNRFET in the ON state, which can affect performance as discussed in the following section. B. Charge Impurities For SBFETs, the charge impurity may affect device characteristics most severely when it is located close to the source, since the SB between source and GNR is affected. In our simulations, the charge impurity is considered as a fixed external charge in the gate-oxide region, and it plays an important role for self-consistent electrostatic potential. To exaggerate the effects of an impurity, the impurity is placed near the source and at a distance of 0.4 nm from the GNR surface. Both polarities of charges are considered, and the charge magnitude is also varied. Fig. 5(a) shows the severely affected SB due to the charge impurity. The inset in the figure shows the position of the charge impurity in the cross section of the simulated device. A negative charge impurity increases the barrier height and thickness, whereas a positive charge impurity decreases the barrier height and thickness at the source contact. This affects the source drain current and the charge in the channel. With the negative charge impurity of 2q, the electron flow is significantly reduced by the large barrier, and the ON current is a factor of 6 smaller than that in the ideal device. For the device with the +2q positive charge impurity, both OFF and ON currents show a relatively smaller variation from the ideal device compared to that with the 2q negative charge impurity in the n-type operation branch (V G > 0.25 V), as illustrated in Fig. 5(b). V. GNRFET CIRCUITS:VARIATIONS AND DEFECTS In this section, we study the sensitivity of inverter delay, power, and noise robustness to variations in GNR width and charge impurities. The combined effect of variations in GNR width and charge impurities is also studied to identify the worst case combination of width variation and charge impurity on delay, power, and noise margins. As explained in the earlier section, two scenarios are considered: one where the anomaly affects one out of four GNRs in the array, and the second where all four GNRs in the array are similarly affected. The simulations were performed for an inverter with a fanout-of-4 load. The operating point (V DD = 0.4 V and V T = 0.13 V) derived in Section III-A as a good tradeoff point among delay, energy, and noise robustness for the nominal device is used to simulate all the GNRFET circuits in this section. In Tables III and IV, the nominal value of delay is reported in picoseconds, nominal static and dynamic power in microwatt, and nominal noise margin in Volt. All other entries in the table are reported as percentage deviation with respect to the nominal case. A. Width Variations Table III shows the delay, power, and noise margin due to independent variations in GNR width in both the n-type and p-type GNRFETs of an inverter. The nominal inverter has both n-type and p-type GNRFETs composed of four N = 12 GNRs in the array. The delay of an inverter driving a fanout-of-4 load, with nominal n-type and p-type GNRFETs composed of four N = 12 GNRs in the array, is 7.54 ps. The delay increases as

7 CHOUDHURY et al.: GRAPHENE NANORIBBON FETs: TECHNOLOGY EXPLORATION FOR PERFORMANCE AND RELIABILITY 733 Fig. 5. (a) Conduction-band profile of N = 12 GNRs with and without charge impurity. The inset shows the position of the charge impurity in the cross section of the simulated device. (b) I V characteristics for N = 12 GNRs with charge impurities. TABLE III EFFECT OF INDEPENDENT VARIATIONS IN GNR WIDTH IN N-/P-GNRFET CHANNELS ON INVERTER DELAY,POWER, AND SNM TABLE IV EFFECT OF INDEPENDENT CHARGE IMPURITIES IN N-/P-GNRFET CHANNELS ON INVERTER DELAY,POWER, AND SNM the width of the GNR decreases to N = 9 and decreases as the width increases to N = 18. In each entry in the table, the comma separates the first scenario when only one GNR is affected from the second scenario when all four GNRs in the GNRFET array are affected. In the worst case, when one to four GNRs in both the p-type and n-type GNRFETs are N = 9 GNRs, the delay increases by 6% 77%. On the other hand, there is a 12% 30% decrease in the delay of an inverter when one to four GNRs in both the p-type and n-type GNRFETs are N = 18 GNRs. Variations in GNR width significantly impact both static and dynamic power. When one to four GNRs in both the p-type and n-type GNRFETs are N = 9 GNRs, static and dynamic power are reduced by 13% 47% and 8% 38%, respectively. In the worst case, however, static and dynamic power increase by 313% 643% and 27% 217%, respectively. The impact of variations in width on leakage power stands out in this table, since even single GNR variations in the two GNRFETs can increase static power consumption by 3. Finally, it is observed that GNR width variations affect the SNMs of a GNRFET inverter significantly. When the n-type and p-type GNRFETs of an inverter have the same width, the SNM increases with decrease in width due to the increase in inertial delay in the inverter. The noise margin decreases from 0.17 to 0.09 V as the width (of both n-type and p-type GNRFETs) increases from N = 9toN = 18. However, the noise margins degrade further when n-type and p-type GNRFETs have different widths, and reach their worst case deviations when there is maximum mismatch of N = 9 and N = 18 between the n-type and p-type GNRFETs. In this case, the SNM is off by 27% 80% from its nominal value of 0.15 V. B. Charge Impurities Table IV shows the delay, power, and noise margin due to independent charge impurities that affect one to four of the GNRs in both the n-type and p-type GNRFETs of an inverter. Note that a +q charge has the same effect on a p-type GNRFET device as a q charge has on an n-type GNRFET device, and vice versa. The nominal inverter has both n-type and p-type GNRFETs composed of no charge defects on all four GNRs

8 734 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY 2011 TABLE V EFFECT OF INDEPENDENT VARIATIONS AND CHARGE IMPURITIES IN N-/P-GNRFET CHANNELS ON INVERTER DELAY,POWER, AND SNM in the array. The effect of charge impurities is highly asymmetric, with large degradation in delay, power, and noise margin, but only small improvements. Inverter delay is degraded by 8% 92% in the presence of simultaneous 2q and +2q charge impurities in one to four n-type GNRFETs and p-type GNRFETs, respectively. However, the maximum improvement in delay observed due to the presence of simultaneous +q and q charge impurities in one to four n-type GNRFETs and p- type GNRFETs, respectively is 1% 9%. Charge impurities affect static power more than dynamic power in a trend similar to that observed for variations, but to a smaller extent. When one to four GNRs in both the p-type and n-type GNRFETs are subject to +q and q charge impurities, respectively, static and dynamic power increase by 11% 37% and 5% 19%, respectively. Charge impurity defects have a smaller effect on the noise margin of an inverter in comparison to variations in GNR width. The presence of simultaneous +q and q charge impurities affecting one to four n-type and p-type GNRs, respectively, degrades the noise margin by 14% 40%, with a 7% improvement in the best case. C. Simultaneous Defects and Variations In this section, we study the impact of simultaneous variations in width and charge impurities on an inverter, ring oscillator, and latch. Table V shows the impact of simultaneous variations in width and charge impurities on the delay, power, and noise margins of an inverter. The nominal inverter has both n-type and p-type GNRFETs composed of four N = 12 GNRs and no charge defects. In the worst case when all GNRs in the array are affected, delay increases by over 2, static power increases by over 7, dynamic power increases by over 2, and the noise margin reduces to zero. These effects are less pronounced on delay and noise margin when only one GNR in the array is affected. However, static power still increases by nearly 4 and dynamic power by 1.5. To summarize, the delay, power, and noise margins for simultaneous defects and variations in the GNRFET inverter are dominated by variations in GNR width and exacerbated by charge impurities. The lower and upper bounds on the effects of width variations and charge defects were obtained by assuming that a nonideal GNRFET has a nonideality in exactly one out of four GNRs, or the same nonideality in all the GNRs. However, postfabrication GNRFETs may have different nonidealities on all four GNRs. Designing circuits that account for lower bound effects of variations and defects may lead to unreliable designs. On the other hand, designing circuits that account for upper bound effects is pessimistic and may lead to sub-optimal designs. Hence, it is necessary to study the average-case behavior of GNRFETs in the presence of nonidealities through Monte Carlo techniques. A randomly selected nonideality is injected in each GNR of the n-type GNRFET and p-type GN- RFET in an inverter. The inverter is simulated using tablelookup to compute its delay, static power, and dynamic power. The average delay, static power, and dynamic power over a large number of runs give the average-case behavior of the device. We performed Monte Carlo simulations using the aforementioned technique to study the average-case delay, static power, and dynamic power dissipation of an inverter. Independent variations in width (N = 9/12/15) and charge impurities ( q/0/+q) was assumed for the GNRs. The width and charge impurities for the GNRFETs were drawn from a normal distribution, with mean width N = 12 and mean charge equal to zero. The widths N = 9/15 and charge +q/ q were set to σ for the two distributions, which were discretized to reflect the nature of occurrence of variations and defects in GNRFETs. 1) Inverter: The delay of a nominal inverter is 18.2 ps. Variations and defects yield inverters with delay ranging from 13.5 to 41.9 ps. The mean delay of a GNRFET inverter in the presence of simultaneous variations and defects is 20.2 ps and the standard deviation is 4.2 ps. The static (dynamic) power dissipation of a nominal inverter is 0.11 μw (0.71 μw). Variations and defects yield inverters with static (dynamic) ranging from 0.07 μw (0.39 μw) to 0.4 μw(1.25 μw). The mean static (dynamic) power of a GNRFET inverter in the presence of simultaneous variations and defects is 0.14 μw (0.73 μw) and the standard deviation is 0.08 μw (0.13 μw). The mean delay for an inverter in the presence of defects and variations is 10% higher than the delay of the nominal inverter. Similarly, the mean static (dynamic) power dissipation of an inverter is 27% (3%) higher than the nominal value. Thus, the delay and static power of an inverter are most affected by variations and defects. 2) Ring Oscillator: A 15-stage ring oscillator consists of 15 inverters that could potentially have different delays, static power, and dynamic power dissipation depending on the variation-defect combination of the GNRs in its n-type GNRFET and p-type GNRFET. In each run of the Monte Carlo simulation, a variation-defect combination is injected separately in each GNR of every inverter in the ring oscillator. The propagation delay (Δ), static power (P stat ), and dynamic power (P dyn ) for each inverter is computed for a rising and a falling transition using the aforementioned technique. The frequency, static power, and dynamic power of the 15-stage ring oscillator is then computed using the propagation delay, static power, and

9 CHOUDHURY et al.: GRAPHENE NANORIBBON FETs: TECHNOLOGY EXPLORATION FOR PERFORMANCE AND RELIABILITY 735 worst case for inverters), a source of concern for most memory applications. However, both the redundancy required for error detection and correction as well as the high static power may be offset by the advantages of high density and low power that GNRFETs offer over scaled CMOS. Fig. 6. Fig. 7. Monte Carlo simulations for 15-stage ring oscillator. SNM for latch with variations and defects. dynamic power of each inverter as follows: 1 f = all inverters (Δ rise +Δ fall ) P stat = 0.5(P stat,rise + P stat,fall ) P dyn = all inverters all inverters 0.5(P dyn,rise + P dyn,fall ). Fig. 6 presents the distributions for delay, dynamic power, and static power for the oscillator. Although the mean value of dynamic power remains unchanged, the mean value of frequency decreases by 15% from the nominal value, and the mean value of static power increases by 20% from the nominal value. This is expected because variations and defects cause more degradation than improvement in delay and static power (see Table V). These results illustrate that even after careful engineering of V T, variations and defects have a significant impact on circuit performance and static power in GNRFET circuits. 3) Latches: There is a lot of interest in using low-power nanoelectronics to realize dense memory arrays. The tradeoffs involved are increased susceptibility to variations and defects, both of which impact the noise margins of memory cells and require error detection and correction support. Fig. 7 shows the butterfly curves for a latch built with two inverters for three cases: nominal, single GNR affected, and all GNRs affected. Both inverters in the latch are assumed to have the same widths and impurities. The worst case combination of defects and variations occurs when the n-type GNRFET has N = 9 and a +q charge impurity, and the p-type GNRFET has N = 18 and a q charge impurity (or vice versa, see Table V). Due to the asymmetry in the n-type and p-type GNRFETs of the inverters in the latches, one eye of the butterfly curve collapses to reduce the noise margin to near-zero, as shown in the figure. A second important observation is that the static power consumption of latches can increase by over 5 in the worst case ( the VI. CONCLUSION GNRFETs have been intensively explored both experimentally and theoretically as potential candidates for nanoelectronics applications. Quantum effects and atomistic scale features inevitably play an important role in such nanoscale electronic devices and circuits. We have developed a bottom-up multiscale simulation framework that treats atomistic scale features in circuit simulations. The simulation framework is applied to technology exploration of GNRFET circuits, with an emphasis on variability and charge impurity effects. The GNR material promises ultrasmall, fast, and low-energy FETs, but two key effects of variability and defects leakage and low noise margins are significant. For example, the variation of the channel width by a couple of angstrom changes the leakage current by orders of magnitude, and a single Coulomb charge impurity can lower the FET ON-current by about 30%. This assessment of the effects of variability, defects, and parasitics indicate their important role on circuit performance. These effects must be carefully considered in the performance assessment and design optimization for graphene-based electronics technology. ACKNOWLEDGMENT M. R. Choudhury and Y. Yoon contributed equally to this work. REFERENCES [1] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, Electric field effect in atomically thin carbon films, Science, vol. 306, pp , [2] Y. Zhang, Y.-W. Tan1, H. L. Stormer, and P. Kim, Experimental observation of the quantum Hall effect and Berry s phase in graphene, Nature, vol. 438, pp , [3] C. Berger, Z. Song, X. Li, X. Wu, N. Brown, C. Naud, D. Mayou, T. Li, J.Hass,A.N.Marchenkov,E.H.Conrad,P.N.First,andW.A.deHeer, Electronic confinement and coherence in patterned epitaxial graphene, Science, vol. 312, pp , [4] R. V. Noorden, Moving towards a graphene world, Nature, vol. 442, pp , [5] A. K. Geim and K. S. Novoselov, The rise of graphene, Nature Mater., vol. 6, pp , [6] W. A. de Heer, C. Berger, E. Conrad, P. First, R. Murali, and J. Meindl, Pionics: The emerging science and technology of graphene-based nanoelectronics, in Intl. Electron Devices Meeting Tech. Dig., 2007, pp [7] Z. Chen, Y.-M. Lin, M. J. Rooks, and Ph. Avouris, Graphene nano-ribbon electronics, Physica E: Low-dimensional Syst. Nanostruct., vol. 40, pp , [8] M. Y. Han, B. Özyilmaz, Y. Zhang, and P. Kim, Energy band-gap engineering of graphene nanoribbons, Phys. Rev. Lett., vol. 98, pp , [9] X. Li, X. Wang, L. Zhang, S. Lee, and H. Dai, Chemically derived, ultrasmooth graphene nanoribbon semiconductors, Science, vol. 319, pp , [10] Y.-W. Son, M. L. Cohen, and S. G. Louie, Energy gaps in graphene nanoribbons, Phys. Rev. Lett., vol. 97, pp , [11] S. Heinze, J. Tersoff, R. Martel, V. Derycke, J. Appenzeller, and Ph. Avouris, Carbon nanotubes as Schottky barrier transistors, Phys. Rev. Lett., vol. 89, pp , 2002.

10 736 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 10, NO. 4, JULY 2011 [12] X. Wang, Y. Ouyang, X. Li, H. Wang, J. Guo, and H. Dai, Room temperature all-semiconducting sub-10nm graphene nanoribbon field-effect transistors, Phys. Rev. Lett., vol. 100, pp , [13] B. Özyilmaz, P. Jarillo-Herrero, D. Efetov, and P. Kim, Electronic transport in locally gated graphene nanoconstrictions, Appl. Phys. Lett., vol. 91, p , [14] Y. Ouyang, Y. Yoon, and Jing Guo, Scaling behaviors of graphene nanoribbon FETs: A three-dimensional quantum simulation study, IEEE Trans. Electron. Devices, vol. 54, no. 9, pp , Sep [15] F. Muñoz-Rojas, J. Fernández-Rossier, L. Brey, and J. J. Palacios, Performance limits of graphene-ribbon field-effect transistors, Phys. Rev. B, vol. 77, pp , [16] G. Fiori and G. Iannaccone, Simulation of graphene nanoribbon fieldeffect transistors, IEEE Electron. Device Lett., vol. 28, no. 8, pp , Aug [17] G. Liang, N. Neophytou, M. S. Lundstrom, and D. E. Nikonov, Ballistic graphene nanoribbon metal-oxide-semiconductor field-effect transistors: A full real-space quantum transport simulation, J. Appl. Phys., vol. 102, pp , [18] G. Liang, N. Neophytou, M. S. Lundstrom, and D. E. Nikonov, Performance projections for ballistic graphene nanoribbon field-effect transistors, IEEE Trans. Electron. Devices, vol. 54, no. 4, pp , Apr [19] G. Fiori, Y. Yoon, S. Hong, G. Iannaccone, and J. Guo, Performance comparison of graphene nanoribbon Schottky barrier and MOSFETs, in Intl. Electron Devices Meeting Tech. Dig., 2007, pp [20] Y. Yoon, G. Fiori, S. Hong, G. Iannaccone, and J. Guo, Performance comparison of graphene nanoribbon FETs with Schottky contacts and doped reservoirs, IEEE Trans. Electron. Devices,vol.55,no.9,pp , Sep [21] M. R. Choudhury, Y. Yoon, J. Guo, and K. Mohanram, Technology exploration for graphene nanoribbon FETs, in Proc. Design Automat. Conf., 2008, pp [22] S. Datta, Quantum transport: Atom to transistor. Cambridge, U.K.: Cambridge Univ. Press, [23] D. Gunlycke, H. M. Lawler, and C. T. White, Room-temperature ballistic transport in narrow graphene strips, Phys.Rev.B, vol. 75, no. 8, pp , [24] A. Javey, J. Guo, D. B. Farmer, Q. Wang, E. Yenilmez, R. G. Gordon, M. S. Lundstrom, and H. Dai, Self-aligned ballistic molecular transistors and electrically parallel nanotube arrays, Nano Lett., vol.4,no.7,pp , [25] A. Svizhenko and M. P. Anantram, Effect of scattering and contacts on current and electrostatics in carbon nanotubes, Phys. Rev. B, vol. 72, no. 8, pp , [26] D. Gunlycke and C. T. White, Tight-binding energy dispersions of armchair-edge graphene nanostrips, Phys. Rev. B, vol. 77, pp , [27] J. Tersoff, Schottky barrier heights and the continuum of gap states, Phys. Rev. Lett., vol. 52, no. 6, pp , [28] F. Léonard and J. Tersoff, Role of Fermi-level pinning in nanotube Schottky diodes, Phys. Rev. Lett., vol. 84, no. 20, pp , [29] J. Guo and M. S. Lundstrom, Device simulation of SWNT-FETs, in Carbon Nanotube Electronics, A. Javey and J. Kong, Eds. New York: Springer, 2008, ch. 5. [30] K. Nakada and M. Fujita, Edge state in graphene ribbons: Nanometer size effect and edge shape dependence, Phys.Rev.B,vol. 54,pp , [31] G. Massobrio and P. Antognetti, Semiconductor Device Modeling with SPICE. New York: McGraw-Hill, Inc., 1993, ch. 6, pp [32] Z. Chen, J. Appenzeller, Y.-M. Lin, J. Sippel-Oakley, A. G. Rinzler, J. Tang, S. J. Wind, P. M. Solomon, and Ph. Avouris, An integrated logic circuit assembled on a single carbon nanotube, Science, vol. 311, no. 5768, p. 1735, [33] Z. Chen, J. Appenzeller, J. Knoch, Y. Lin, and Ph. Avouris, The role of metal-nanotube contact in the performance of carbon nanotube field-effect transistors, Nano Lett., vol. 5, no. 7, pp , [34] Y. Cao, T. Sato, M. Orshansky, D. Sylvester, and C. Hu, New paradigm of predictive MOSFET and interconnect modeling for early circuit design, in Proc. Custom Integr. Circuits Conf., pp [35] P.-Y. Chen, Y.-L. Shaob, K.-W. Cheng, K.-H. Hsu, and J.-S. Wu, Threedimensional simulation studies on electrostatic predictions for carbon nanotube field effect transistors, Compute Phys. Commun., vol. 177, pp , [36] Y. Yoon and J. Guo, Effect of edge roughness in graphene nanoribbon transistors, Appl. Phys. Lett., vol. 91, pp , Mihir R. Choudhury (S 06) received the B.Tech. degree in computer science and engineering from the Indian Institute of Technology, Mumbai, India, in 2005, and the M.S. degree in electrical and computer engineering, in 2008 from Rice University, Houston TX, where he is currently working toward the Ph.D. degree in computer engineering. His research interests include advanced logic synthesis, circuit simulation, and design for reliability in scaled electronic technologies. Youngki Yoon (S 08 M 09) received the B.E. degree in material science and engineering from Korea University, Seoul, Korea, in 1999, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Florida, Gainesville, in 2005 and 2008, respectively. Since 2009, he has been with the University of California, Berkeley, where he is currently a Postdoctoral Researcher involved in the research on low-power electronics. He has authored or coauthored 17 papers in refereed journals in nanoelectronics and quantum device simulations. His research interests include the modeling and simulation of emerging and exploratory devices. Jing Guo (M 04) received the B.S. and the M.S. degrees from Shanghai Jiao Tong University, China, in 1998 and 2000, respectively, and the Ph.D. degree in electrical engineering from Purdue University, West Lafayette, IN, in He is currently an Associate Professor of electrical and computer engineering at the University of Florida, Gainesville. He was involved in the research on modeling and simulation of silicon nanotransistors, superconductor devices, and single-electron transistors. His current research focuses on modeling and simulation of electronic, optoelectronic, and sensing devices based on nanostructures, including nanotubes, nanowires, and graphene. He has authored or coauthored more than 60 journal papers in these research areas. He has coauthored a book, Nanoscale Transistors: Device Physics, Modeling, and Simulation (Springer, 2006). Dr. Guo is a recipient of the National Science Foundation (NSF) faculty early CAREER award. He was engaged in technical program committees of International Electron Devices Meeting (IEDM) and Device Research Conference (DRC). Kartik Mohanram (S 00 M 04) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Mumbai, India, in 1998, and the M.S. and Ph.D. degrees in computer engineering from the University of Texas, Austin, in 2000 and 2003, respectively. He is currently in the Department of Electrical and Computer Engineering, Rice University, Houston, TX. His research interests include computer engineering and systems, nanoelectronics, and computational biology. Dr. Mohanram is a recipient of the National Science Foundation (NSF) CAREER Award, the Association for Computing Machinery/Special Interest Group on Design Automation (ACM/SIGDA) Technical Leadership Award, and the A. Richard Newton Graduate Scholarship.

Computational Model of Edge Effects in Graphene Nanoribbon Transistors

Computational Model of Edge Effects in Graphene Nanoribbon Transistors Nano Res (2008) 1: 395 402 DOI 10.1007/s12274-008-8039-y Research Article 00395 Computational Model of Edge Effects in Graphene Nanoribbon Transistors Pei Zhao 1, Mihir Choudhury 2, Kartik Mohanram 2,

More information

Performance Comparison of Graphene Nanoribbon FETs. with Schottky Contacts and Doped Reservoirs

Performance Comparison of Graphene Nanoribbon FETs. with Schottky Contacts and Doped Reservoirs Performance Comparison of Graphene Nanoribbon FETs with Schottky Contacts and Doped Reservoirs Youngki Yoon 1,a, Gianluca Fiori 2,b, Seokmin Hong 1, Giuseppe Iannaccone 2, and Jing Guo 1 1 Department of

More information

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor

Understanding the effect of n-type and p-type doping in the channel of graphene nanoribbon transistor Bull. Mater. Sci., Vol. 39, No. 5, September 2016, pp. 1303 1309. DOI 10.1007/s12034-016-1277-9 c Indian Academy of Sciences. Understanding the effect of n-type and p-type doping in the channel of graphene

More information

A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors

A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors A Numerical Study of Scaling Issues for Schottky Barrier Carbon Nanotube Transistors Jing Guo, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material

Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material Projected Performance Advantage of Multilayer Graphene Nanoribbon as Transistor Channel Material Yijian Ouyang 1, Hongjie Dai 2, and Jing Guo 1 1 Department of Electrical and Computer Engineering, University

More information

Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material

Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material 1Nano Res (2010) 3: 8 15 DOI 10.1007/s12274-010-1002-8 Research Article Projected Performance Advantage of Multilayer Graphene Nanoribbons as a Transistor Channel Material Yijian Ouyang 1 ( ), Hongjie

More information

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures

Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures Evaluation of Electronic Characteristics of Double Gate Graphene Nanoribbon Field Effect Transistor for Wide Range of Temperatures 1 Milad Abtin, 2 Ali Naderi 1 Department of electrical engineering, Masjed

More information

Electrostatics of Nanowire Transistors

Electrostatics of Nanowire Transistors Electrostatics of Nanowire Transistors Jing Guo, Jing Wang, Eric Polizzi, Supriyo Datta and Mark Lundstrom School of Electrical and Computer Engineering Purdue University, West Lafayette, IN, 47907 ABSTRACTS

More information

Semi-analytical model for Schottky-barrier carbon nanotube and graphene nanoribbon transistors

Semi-analytical model for Schottky-barrier carbon nanotube and graphene nanoribbon transistors Semi-analytical model for Schottky-barrier carbon nanotube and graphene nanoribbon transistors Xuebei Yang, Gianluca Fiori, Giuseppe Iannaccone, and Kartik Mohanram Department of Electrical and Computer

More information

Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric

Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric Device Performance Analysis of Graphene Nanoribbon Field-Effect Transistor with Rare- Earth Oxide (La 2 O 3 ) Based High-k Gate Dielectric M. K. Bera 1, S. P. Pandey 2, A. K. Sharma 3, D. K. Tyagi 4, R.

More information

Three-Dimensional Electrostatic Effects of Carbon Nanotube Transistors

Three-Dimensional Electrostatic Effects of Carbon Nanotube Transistors Three-Dimensional Electrostatic Effects of Carbon Nanotube Transistors Neophytos Neophytou, Jing Guo* and Mark Lundstrom School of ECE, Purdue University, West Lafayette, IN, 47907 *Department of ECE,

More information

Non-equilibrium Green's function (NEGF) simulation of metallic carbon nanotubes including vacancy defects

Non-equilibrium Green's function (NEGF) simulation of metallic carbon nanotubes including vacancy defects Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 6-1-2007 Non-equilibrium Green's function (NEGF) simulation of metallic carbon nanotubes including vacancy

More information

Graphene Devices, Interconnect and Circuits Challenges and Opportunities

Graphene Devices, Interconnect and Circuits Challenges and Opportunities Graphene Devices, Interconnect and Circuits Challenges and Opportunities Mircea R. Stan, Dincer Unluer, Avik Ghosh, Frank Tseng Univ. of Virginia, ECE Dept., Charlottesville, VA 22904 {mircea,du7x,ag7rq,ft8e}@virginia.edu

More information

Effects of edge chemistry doping on graphene nanoribbon mobility

Effects of edge chemistry doping on graphene nanoribbon mobility Effects of edge chemistry doping on graphene nanoribbon mobility Yijian Ouyang 1, Stefano Sanvito 2 and Jing Guo 1, * 1 Department of Electrical and Computer Engineering, University of Florida, Gainesville,

More information

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M.

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M. Mominuzzaman Department of Electrical and Electronic Engineering, Bangladesh

More information

Assessment of high-frequency performance potential of carbon nanotube transistors

Assessment of high-frequency performance potential of carbon nanotube transistors Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 11-1-2005 Assessment of high-frequency performance potential of carbon nanotube transistors Jing Guo Department

More information

I-V characteristics model for Carbon Nanotube Field Effect Transistors

I-V characteristics model for Carbon Nanotube Field Effect Transistors International Journal of Engineering & Technology IJET-IJENS Vol:14 No:04 33 I-V characteristics model for Carbon Nanotube Field Effect Transistors Rebiha Marki, Chérifa Azizi and Mourad Zaabat. Abstract--

More information

Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits

Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits NANO LETTERS 2007 Vol. 7, No. 12 3603-3607 Zhiyong Zhang, Xuelei Liang,*, Sheng Wang, Kun Yao, Youfan Hu, Yuzhen Zhu,

More information

Transversal electric field effect in multilayer graphene nanoribbon

Transversal electric field effect in multilayer graphene nanoribbon Transversal electric field effect in multilayer graphene nanoribbon S. Bala kumar and Jing Guo a) Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32608, USA

More information

Unique Characteristics of Vertical Carbon Nanotube Field-effect Transistors on Silicon

Unique Characteristics of Vertical Carbon Nanotube Field-effect Transistors on Silicon www.nmletters.org Unique Characteristics of Vertical Carbon Nanotube Field-effect Transistors on Silicon Jingqi Li 1,, Weisheng Yue 1, Zaibing Guo 1, Yang Yang 1, Xianbin Wang 1, Ahad A. Syed 1, Yafei

More information

Electrostatics of nanowire transistors

Electrostatics of nanowire transistors Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 12-1-2003 Electrostatics of nanowire transistors Jing Guo Jing Wang E. Polizzi Supriyo Datta Birck Nanotechnology

More information

NOVEL STRUCTURES FOR CARBON NANOTUBE FIELD EFFECT TRANSISTORS

NOVEL STRUCTURES FOR CARBON NANOTUBE FIELD EFFECT TRANSISTORS International Journal of Modern Physics B Vol. 23, No. 19 (2009) 3871 3880 c World Scientific Publishing Company NOVEL STRUCTURES FOR CARBON NANOTUBE FIELD EFFECT TRANSISTORS RAHIM FAEZ Electrical Engineering

More information

Carbon Nanotube Electronics

Carbon Nanotube Electronics Carbon Nanotube Electronics Jeorg Appenzeller, Phaedon Avouris, Vincent Derycke, Stefan Heinz, Richard Martel, Marko Radosavljevic, Jerry Tersoff, Shalom Wind H.-S. Philip Wong hspwong@us.ibm.com IBM T.J.

More information

Research Article Analyses of Short Channel Effects of Single-Gate and Double-Gate Graphene Nanoribbon Field Effect Transistors

Research Article Analyses of Short Channel Effects of Single-Gate and Double-Gate Graphene Nanoribbon Field Effect Transistors Materials Volume 6, Article ID 84469, 8 pages http://dx.doi.org/.55/6/84469 Research Article Analyses of Short Channel Effects of Single-Gate and Double-Gate Graphene Nanoribbon Field Effect Transistors

More information

Dissipative Transport in Rough Edge Graphene Nanoribbon. Tunnel Transistors

Dissipative Transport in Rough Edge Graphene Nanoribbon. Tunnel Transistors Dissipative Transport in Rough Edge Graphene Nanoribbon Tunnel Transistors Youngki Yoon and Sayeef Salahuddin Department of Electrical Engineering and Computer Sciences, University of California, Berkeley,

More information

3-month progress Report

3-month progress Report 3-month progress Report Graphene Devices and Circuits Supervisor Dr. P.A Childs Table of Content Abstract... 1 1. Introduction... 1 1.1 Graphene gold rush... 1 1.2 Properties of graphene... 3 1.3 Semiconductor

More information

An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics

An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics Journal of Computational Electronics X: YYY-ZZZ,? 6 Springer Science Business Media, Inc. Manufactured in The Netherlands An Extended Hückel Theory based Atomistic Model for Graphene Nanoelectronics HASSAN

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION DOI: 10.1038/NNANO.2011.138 Graphene Nanoribbons with Smooth Edges as Quantum Wires Xinran Wang, Yijian Ouyang, Liying Jiao, Hailiang Wang, Liming Xie, Justin Wu, Jing Guo, and

More information

Available online at ScienceDirect. Procedia Materials Science 11 (2015 )

Available online at   ScienceDirect. Procedia Materials Science 11 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Materials Science 11 (2015 ) 287 292 5th International Biennial Conference on Ultrafine Grained and Nanostructured Materials, UFGNSM15 Tunneling

More information

Electrical Contacts to Carbon Nanotubes Down to 1nm in Diameter

Electrical Contacts to Carbon Nanotubes Down to 1nm in Diameter 1 Electrical Contacts to Carbon Nanotubes Down to 1nm in Diameter Woong Kim, Ali Javey, Ryan Tu, Jien Cao, Qian Wang, and Hongjie Dai* Department of Chemistry and Laboratory for Advanced Materials, Stanford

More information

Analysis of InAs Vertical and Lateral Band-to-Band Tunneling. Transistors: Leveraging Vertical Tunneling for Improved Performance

Analysis of InAs Vertical and Lateral Band-to-Band Tunneling. Transistors: Leveraging Vertical Tunneling for Improved Performance Analysis of InAs Vertical and Lateral Band-to-Band Tunneling Transistors: Leveraging Vertical Tunneling for Improved Performance Kartik Ganapathi, Youngki Yoon and Sayeef Salahuddin a) Department of Electrical

More information

NiCl2 Solution concentration. Etching Duration. Aspect ratio. Experiment Atmosphere Temperature. Length(µm) Width (nm) Ar:H2=9:1, 150Pa

NiCl2 Solution concentration. Etching Duration. Aspect ratio. Experiment Atmosphere Temperature. Length(µm) Width (nm) Ar:H2=9:1, 150Pa Experiment Atmosphere Temperature #1 # 2 # 3 # 4 # 5 # 6 # 7 # 8 # 9 # 10 Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1, 150Pa Ar:H2=9:1,

More information

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Purdue University Purdue e-pubs Other Nanotechnology Publications Birck Nanotechnology Center 8-18-28 OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices Mathieu Luisier

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

GRAPHENE has received much attention as a base material

GRAPHENE has received much attention as a base material 4000 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 12, DECEMBER 2014 Asymmetric Gate Schottky-Barrier Graphene Nanoribbon FETs for Low-Power Design Morteza Gholipour, Nasser Masoumi, Ying-Yu (Christine)

More information

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor CMPEN 411 VLSI Digital Circuits Lecture 03: MOS Transistor Kyusun Choi [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] CMPEN 411 L03 S.1

More information

Ballistic Graphene Nanoribbon MOSFETs: a full quantum real-space simualtion study

Ballistic Graphene Nanoribbon MOSFETs: a full quantum real-space simualtion study Ballistic Graphene Nanoribbon MOSFETs: a full quantum real-space simualtion study Gengchiau Liang *, Electrical and Computer Engineering, National University of Singapore, Singapore 117576 Neophytos Neophytou,

More information

Available online at ScienceDirect. Procedia Materials Science 11 (2015 )

Available online at   ScienceDirect. Procedia Materials Science 11 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Materials Science 11 (2015 ) 407 411 5th International Biennial Conference on Ultrafine Grained and Nanostructured Materials, FGNSM15 Simulation

More information

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors

Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors Drift-diffusion model for single layer transition metal dichalcogenide field-effect transistors David Jiménez Departament d'enginyeria Electrònica, Escola d'enginyeria, Universitat Autònoma de Barcelona,

More information

Device Simulation of SWNT-FETs

Device Simulation of SWNT-FETs Device Simulation of SWNT-FETs Jing Guo 1 and Mark Lundstrom 2 1 Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL, 32611 2 School of Electrical and Computer Engineering,

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Simple and accurate modeling of the 3D structural variations in FinFETs

Simple and accurate modeling of the 3D structural variations in FinFETs Simple and accurate modeling of the 3D structural variations in FinFETs Donghu Kim Electrical Engineering Program Graduate school of UNIST 2013 Simple and accurate modeling of the 3D structural variations

More information

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs Cheng-Ying Huang 1, Sanghoon Lee 1, Evan Wilson 3, Pengyu Long 3, Michael Povolotskyi 3, Varistha Chobpattana

More information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect. G.Chitra 1, P.Murugeswari 2 1 (Post Graduate Student, VLSI Design, Theni Kammavar Sangam College of Technology, Theni, India)

More information

Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons

Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons Jiuning Hu 1* Xiulin Ruan 2 Yong P. Chen 3# 1School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

Quantum transport through graphene nanostructures

Quantum transport through graphene nanostructures Quantum transport through graphene nanostructures S. Rotter, F. Libisch, L. Wirtz, C. Stampfer, F. Aigner, I. Březinová, and J. Burgdörfer Institute for Theoretical Physics/E136 December 9, 2009 Graphene

More information

Graphene, the two-dimensional allotrope of carbon,

Graphene, the two-dimensional allotrope of carbon, External Bias Dependent Direct To Indirect Band Gap Transition in Graphene Nanoribbon Kausik Majumdar,*, Kota V. R. M. Murali, Navakanta Bhat, and Yu-Ming Lin pubs.acs.org/nanolett Department of Electrical

More information

Current mechanisms Exam January 27, 2012

Current mechanisms Exam January 27, 2012 Current mechanisms Exam January 27, 2012 There are four mechanisms that typically cause currents to flow: thermionic emission, diffusion, drift, and tunneling. Explain briefly which kind of current mechanisms

More information

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS 98 CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS In this chapter, the effect of gate electrode work function variation on DC

More information

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT International Journal of Mechanical Engineering and Technology (IJMET) Volume 9, Issue 7, July 2018, pp. 345 353, Article ID: IJMET_09_07_039 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=9&itype=7

More information

The Role of Metal Nanotube Contact in the Performance of Carbon Nanotube Field-Effect Transistors

The Role of Metal Nanotube Contact in the Performance of Carbon Nanotube Field-Effect Transistors The Role of Metal Nanotube Contact in the Performance of Carbon Nanotube Field-Effect Transistors NANO LETTERS 2005 Vol. 5, No. 7 1497-1502 Zhihong Chen, Joerg Appenzeller,*, Joachim Knoch, Yu-ming Lin,

More information

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model

A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Journal of the Korean Physical Society, Vol. 55, No. 3, September 2009, pp. 1162 1166 A Bottom-gate Depletion-mode Nanowire Field Effect Transistor (NWFET) Model Including a Schottky Diode Model Y. S.

More information

metal-oxide-semiconductor field-effect tunneling

metal-oxide-semiconductor field-effect tunneling Band-to-band tunneling in a carbon nanotube metal-oxide-semiconductor field-effect transistor is dominated by phonon assisted tunneling Siyuranga O. Koswatta,,* Mark S. Lundstrom, and Dmitri E. Nikonov

More information

Ambipolar Graphene Field Effect Transistors by Local Metal Side Gates USA. Indiana 47907, USA. Abstract

Ambipolar Graphene Field Effect Transistors by Local Metal Side Gates USA. Indiana 47907, USA. Abstract Ambipolar Graphene Field Effect Transistors by Local Metal Side Gates J. F. Tian *, a, b, L. A. Jauregui c, b, G. Lopez c, b, H. Cao a, b *, a, b, c, and Y. P. Chen a Department of Physics, Purdue University,

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

Operating Principles of Vertical Transistors Based on Monolayer Two-Dimensional Semiconductor Heterojunctions

Operating Principles of Vertical Transistors Based on Monolayer Two-Dimensional Semiconductor Heterojunctions Operating Principles of Vertical Transistors Based on Monolayer Two-Dimensional Semiconductor Heterojunctions Kai Tak Lam, Gyungseon Seol and Jing Guo Department of Electrical and Computer Engineering,

More information

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? Electronics with 2D Crystals: Scaling extender, or harbinger of new functions? 1 st Workshop on Data Abundant Systems Technology Stanford, April 2014 Debdeep Jena (djena@nd.edu) Electrical Engineering,

More information

Session Chair: Prof. Haiping Cheng (University of Florida) Dr. Lei Shen. National University of Singapore

Session Chair: Prof. Haiping Cheng (University of Florida) Dr. Lei Shen. National University of Singapore B1. Modeling Quantum Transport at Nanoscale Chair(s): Chun ZHANG, National University of Singapore, Singapore Session s Title (if available) Tue - 17 Jan 2017 13:00 ~ 14:30 Room 2 Session Chair: Prof.

More information

MOS Transistor Theory

MOS Transistor Theory CHAPTER 3 MOS Transistor Theory Outline 2 1. Introduction 2. Ideal I-V Characteristics 3. Nonideal I-V Effects 4. C-V Characteristics 5. DC Transfer Characteristics 6. Switch-level RC Delay Models MOS

More information

Lecture 5: CMOS Transistor Theory

Lecture 5: CMOS Transistor Theory Lecture 5: CMOS Transistor Theory Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline q q q q q q q Introduction MOS Capacitor nmos I-V Characteristics

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons

Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons Int J Thermophys (2012) 33:986 991 DOI 10.1007/s10765-012-1216-y Molecular Dynamics Study of Thermal Rectification in Graphene Nanoribbons Jiuning Hu Xiulin Ruan Yong P. Chen Received: 26 June 2009 / Accepted:

More information

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer

Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon. Nanotubes. Yung-Fu Chen and M. S. Fuhrer Electric Field-Dependent Charge-Carrier Velocity in Semiconducting Carbon Nanotubes Yung-Fu Chen and M. S. Fuhrer Department of Physics and Center for Superconductivity Research, University of Maryland,

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

3D Simulation of coaxial carbon nanotube field effect transistor

3D Simulation of coaxial carbon nanotube field effect transistor 3D Simulation of coaxial carbon nanotube field effect transistor Dinh Sy Hien, Nguyen Thi Luong, Thi Tran Anh Tuan and Dinh Viet Nga HCM University of Natural Sciences, 7 Nguyen Van Cu Street, 5 District,

More information

Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique

Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 6, JUNE 2000 1241 Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique Brian Winstead and Umberto Ravaioli,

More information

Achieving a higher performance in bilayer graphene FET Strain Engineering

Achieving a higher performance in bilayer graphene FET Strain Engineering SISPAD 2015, September 9-11, 2015, Washington, DC, USA Achieving a higher performance in bilayer graphene FET Strain Engineering Fan W. Chen, Hesameddin Ilatikhameneh, Gerhard Klimeck and Rajib Rahman

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology Robert Chau, Justin Brask, Suman Datta, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack

More information

GRAPHENE NANORIBBONS Nahid Shayesteh,

GRAPHENE NANORIBBONS Nahid Shayesteh, USC Department of Physics Graduate Seminar 1 GRAPHENE NANORIBBONS Nahid Shayesteh, Outlines 2 Carbon based material Discovery and innovation of graphen Graphene nanoribbons structure Application of Graphene

More information

Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model

Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model Wu and Childs Nanoscale es Lett, 6:6 http://www.nanoscalereslett.com/content/6//6 NANO EXPE Open Access Conductance of Graphene Nanoribbon Junctions and the Tight Binding Model Y Wu, PA Childs * Abstract

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

Impact of intrinsic deformations on the negative differential resistance of monolayer MoS 2 ultrashort channel MOSFET

Impact of intrinsic deformations on the negative differential resistance of monolayer MoS 2 ultrashort channel MOSFET Impact of intrinsic deformations on the negative differential resistance of monolayer MoS 2 ultrashort channel MOSFET Amretashis Sengupta*, Santanu Mahapatra Nano-Scale Device Research Laboratory, Dept.

More information

Ultra-low-voltage bilayer graphene tunnel FET

Ultra-low-voltage bilayer graphene tunnel FET Ultra-low-voltage bilayer graphene tunnel FET 1 arxiv:0906.1254v1 [cond-mat.mes-hall] 6 Jun 2009 Gianluca Fiori, Giuseppe Iannaccone Dipartimento di Ingegneria dell Informazione : Elettronica, Informatica,

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Emerging Research Devices: A Study of CNTFET and SET as a replacement for SiMOSFET

Emerging Research Devices: A Study of CNTFET and SET as a replacement for SiMOSFET 1 Emerging Research Devices: A Study of CNTFET and SET as a replacement for SiMOSFET Mahmoud Lababidi, Krishna Natarajan, Guangyu Sun Abstract Since the development of the Silicon MOSFET, it has been the

More information

EE410 vs. Advanced CMOS Structures

EE410 vs. Advanced CMOS Structures EE410 vs. Advanced CMOS Structures Prof. Krishna S Department of Electrical Engineering S 1 EE410 CMOS Structure P + poly-si N + poly-si Al/Si alloy LPCVD PSG P + P + N + N + PMOS N-substrate NMOS P-well

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

ECE 546 Lecture 10 MOS Transistors

ECE 546 Lecture 10 MOS Transistors ECE 546 Lecture 10 MOS Transistors Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu NMOS Transistor NMOS Transistor N-Channel MOSFET Built on p-type

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Quantum Modeling and Proposed Designs of CNT-Embedded Nanoscale MOSFETs

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Quantum Modeling and Proposed Designs of CNT-Embedded Nanoscale MOSFETs TRANSACTIONS ON ELECTRON DEVICES 1 Quantum Modeling and Proposed Designs of CNT-Embedded Nanoscale MOSFETs Akin Akturk, Gary Pennington, and Neil Goldsman Abstract We propose a novel MOSFET design that

More information

Graphene Novel Material for Nanoelectronics

Graphene Novel Material for Nanoelectronics Graphene Novel Material for Nanoelectronics Shintaro Sato Naoki Harada Daiyu Kondo Mari Ohfuchi (Manuscript received May 12, 2009) Graphene is a flat monolayer of carbon atoms with a two-dimensional honeycomb

More information

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS Operation and Modeling of The MOS Transistor Second Edition Yannis Tsividis Columbia University New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Chapter 1 l.l 1.2 1.3 1.4 1.5 1.6 1.7 Chapter 2 2.1 2.2

More information

Metal Semiconductor Contacts

Metal Semiconductor Contacts Metal Semiconductor Contacts The investigation of rectification in metal-semiconductor contacts was first described by Braun [33-35], who discovered in 1874 the asymmetric nature of electrical conduction

More information

doi: /

doi: / doi: 10.1063/1.1840096 JOURNAL OF APPLIED PHYSICS 97, 034306 (2005) Characteristics of a carbon nanotube field-effect transistor analyzed as a ballistic nanowire field-effect transistor Kenji Natori, a)

More information

Branislav K. Nikolić

Branislav K. Nikolić First-principles quantum transport modeling of thermoelectricity in nanowires and single-molecule nanojunctions Branislav K. Nikolić Department of Physics and Astronomy, University of Delaware, Newark,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm 2 Name: SID: Closed book. Two sheets of notes are

More information

Transport Properties of Graphene Nanoribbon Transistors on. Chemical-Vapor-Deposition Grown Wafer-Scale Graphene

Transport Properties of Graphene Nanoribbon Transistors on. Chemical-Vapor-Deposition Grown Wafer-Scale Graphene Transport Properties of Graphene Nanoribbon Transistors on Chemical-Vapor-Deposition Grown Wafer-Scale Graphene Wan Sik Hwang 1, a), Kristof Tahy 1, Xuesong Li 2, Huili (Grace) Xing 1, Alan C. Seabaugh

More information

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub

Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub Top Gate Planner Carbon Nanotube Field Effect Transistor using Nanohub G. K. Pandey 1, U.N. Tripathi 2, Manish Mishra 3 1,3 Department of Electronics, DDU Gorakhpur University, Gorakhpur -273009, India.

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 10 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits Exam No. 2 Thursday, November 5, 2009 7:30 to

More information

MOS Transistor I-V Characteristics and Parasitics

MOS Transistor I-V Characteristics and Parasitics ECEN454 Digital Integrated Circuit Design MOS Transistor I-V Characteristics and Parasitics ECEN 454 Facts about Transistors So far, we have treated transistors as ideal switches An ON transistor passes

More information

Semiconductor Physics Problems 2015

Semiconductor Physics Problems 2015 Semiconductor Physics Problems 2015 Page and figure numbers refer to Semiconductor Devices Physics and Technology, 3rd edition, by SM Sze and M-K Lee 1. The purest semiconductor crystals it is possible

More information

Towards Multi-Scale Modeling of Carbon Nanotube Transistors. Abstract

Towards Multi-Scale Modeling of Carbon Nanotube Transistors. Abstract Towards Multi-Scale Modeling of Carbon Nanotube Transistors Jing Guo, Supriyo Datta, and Mark Lundstrom School of Electrical and Computer Engineering, Purdue University West Lafayette, IN 47907 M. P. Anantam

More information

Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure

Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure Nanomaterials Volume 21, Article ID 753738, 4 pages doi:1.1155/21/753738 Research Article Graphene Nanoribbon Conductance Model in Parabolic Band Structure Mohammad Taghi Ahmadi, Zaharah Johari, N. Aziziah

More information

CARBON NANOTUBE ELECTRONICS: MODELING, PHYSICS, AND APPLICATIONS. A Thesis. Submitted to the Faculty. Purdue University. Jing Guo

CARBON NANOTUBE ELECTRONICS: MODELING, PHYSICS, AND APPLICATIONS. A Thesis. Submitted to the Faculty. Purdue University. Jing Guo 0 CARBON NANOTUBE ELECTRONICS: MODELING, PHYSICS, AND APPLICATIONS A Thesis Submitted to the Faculty of Purdue University by Jing Guo In Partial Fulfillment of the Requirements for the Degree of Doctor

More information

Design Of Ternary Logic Gates Using CNTFET

Design Of Ternary Logic Gates Using CNTFET International Journal of Research in Computer and Communication Technology, Vol 4, Issue 3, March -2015 ISSN (Online) 2278-5841 ISSN (Print) 2320-5156 Design Of Ternary Logic Gates Using CNTFET Aashish

More information

arxiv: v1 [cond-mat.mtrl-sci] 29 Jan 2008

arxiv: v1 [cond-mat.mtrl-sci] 29 Jan 2008 Strong Suppression of Electrical Noise in Bilayer Graphene Nano Devices YU-MING LIN and PHAEDON AVOURIS IBM T. J. Watson Research Center, arxiv:0801.4576v1 [cond-mat.mtrl-sci] 29 Jan 2008 Yorktown Heights,

More information

Carbon based Nanoscale Electronics

Carbon based Nanoscale Electronics Carbon based Nanoscale Electronics 09 02 200802 2008 ME class Outline driving force for the carbon nanomaterial electronic properties of fullerene exploration of electronic carbon nanotube gold rush of

More information