Time-multiplexed, inductively coupled plasma process with separate SiCl 4 and O 2 steps for etching of GaAs with high selectivity

Size: px
Start display at page:

Download "Time-multiplexed, inductively coupled plasma process with separate SiCl 4 and O 2 steps for etching of GaAs with high selectivity"

Transcription

1 Time-multiplexed, inductively coupled plasma process with separate SiCl 4 and O 2 steps for etching of GaAs with high selectivity S. Golka, a M. Arens, M. Reetz, and T. Kwapien SENTECH Instruments GmbH, Carl-Scheele-Straße 16, Berlin, Germany S. Bouchoule and G. Patriarche Laboratoire de Photonique et de Nanostructures (LPN), CNRS, Route de Nozay, Marcoussis, France Received 6 April 2009; accepted 17 August 2009; published 29 September 2009 The authors present the results and the optimization procedure for a time-multiplexed dry etching process to etch GaAs in an inductively coupled plasma reactive ion etching system. The gas feed chopping sequence employed a SiCl 4 etch phase and an O 2 passivation phase. Care is taken not to intermix O 2 with SiCl 4. The investigated structures consist of pillars, trenches, stripes, and holes, all with lateral structure size of 1 m or less. This feature size is interesting for diffractive elements and cavities in integrated mid-ir optoelectronics. They achieve an aspect ratio of 10 for holes, 17 for trenches, and 30 for stripes with a selectivity of 200:1 on open areas. The improvements in the sidewall morphology are related to the O 2 passivation step investigated by optical emission spectroscopy and energy dispersive x-ray analysis that reveals a Si-rich SiO X sidewall American Vacuum Society. DOI: / I. INTRODUCTION The achievement of a high aspect ratio AR in deep etched structures in III-V semiconductors is crucial for substrate based photonic crystals PhCs and monolithic onedimensional distributed Bragg reflectors DBRs. Reactive ion etching RIE by a high density plasma, 1 such as an inductively coupled plasma ICP, is currently the most widely used technique for their production. PhCs and DBRs are important building blocks in integrated optoelectronics that are able to superimpose a passive optic onto an electrooptically active structure. In the midinfrared MIR and terahertz regime, GaAs-based multiple quantum well devices using intersubband ISB transitions play a decisive role. The recent achievement of a GaAs AlGaAs terahertz quantum cascade laser QCL that was grown by the commercially important gas phase epitaxy 2 has demonstrated that there is an ongoing demand for GaAs-based high quality ISB devices. In QCLs, the incorporation of a PhC hole pattern into the active layer resulted in surface emission which again has commercial importance due to the reduced numerical aperture in the far field. The PhC hole radii of a laser reported with surface emission vary from r=3 m at =70 m emission wavelength, to r=10.8 m at =110 m in terahertz lasers, 3,4 to r=0.85 m at =8 m in a MIR laser. 5 Radii depend not only on wavelength but also on the waveguide design. Besides QCLs, detectors make use of ISB transitions, namely, quantum well infrared photodetectors QWIPs. All ISB based devices rely on a grating coupler to couple from the internal transverse magnetic mode to surface emission or illumination. This is due to ISB selection rules. A simple vertical cavity with no PhC is not possible for ISB devices. In QWIPs a deep PhC r=0.7 m can tailor the a Electronic mail: sebastian.golka@sentech.de absorption spectrum in the 7 12 m region. 6 The achievement of a high quality factor Q in an optical cavity in QWIPs can enhance the signal to noise ratio SNR and/or give rise to interesting strong coupling phenomena, such as polariton splitting of ISB transitions. 7 In GaAs QWIPs, a low SNR at high operating temperature is caused by the dark current that could be decreased by decreasing the doping. To account for the reduced light absorption, a higher Q is needed. PhC patterns can produce high Q s. However, the key factor to achieve high Q s in PhC based cavities in lasers and detectors is a high AR of the holes. 8 Another challenging point that needs to be addressed in dry etching of active devices is the simultaneous achievement of narrow and open structures in a single etch process. This occurs in the processing of deep diffraction gratings along with rib waveguides, as in MIR distributed feedback resonators at =10.6 m Ref. 9 or MIR Bragg reflectors DBR with rib lasers. 10 The respective trench widths are w=1, 2, and 6 m at =10.6, 9, and 4 m, respectively, with depth of approximately 10 m for both. The unavoidable slowing down of the etch rate in narrow structures RIE lag and limited thickness of practical hard masks require a huge selectivity of semiconductor etching over mask etching. Therefore, the chemical etching component must be large compared to physical sputtering, like it is the case in Cl-based RIE. However, chemical etching is inherently isotropic. Because net anisotropy is required to produce vertical walls, an etch inhibiting layer must cover the structure edge in order to protect it from lateral etching. To achieve this, two chemical reaction products are required. One of the products must be volatile for bulk etching of the semiconductor e.g., GaCl 3, whereas the sidewall deposit must be nonvolatile under the local conditions present on the structure edge. There is no requirement to treat both products separately, as is nicely demonstrated in Ar/Cl 2 etching, where sticky etch products such as InCl 3 act as both. 10,11 At 2270 J. Vac. Sci. Technol. B 27 5, Sep/Oct /2009/27 5 /2270/10/$ American Vacuum Society 2270

2 2271 Golka et al.: Time-multiplexed, inductively coupled plasma 2271 selectivities approaching 100, only a very small ion bombardment energy is allowed. That makes such approaches such as Ar/Cl 2 RIE increasingly difficult. A much more versatile approach is to use a gas or mixture that etches on the floor under ion bombardment and polymerizes on the sidewall with no or too little bombardment, where instead it reacts to form a compound that is completely different from the volatile etch product. The feed gases CH 4, BCl 3, HBr, CCl 4, and SiCl 4 are examples. SiCl 4 is particularly well suited for GaAs AlGaAs etching. 9,12 15 A third approach uses the simultaneous etching of a cover plate in the plasma chamber to produce the polymerizing gas mixture in the plasma. This is, for example, a Si plate in a H 2 /Cl 2 plasma 16 to etch InP, producing a Si Cl polymer formed as a byproduct. Yet another approach is a cyclic depositing and etching to preserve the high selectivity of a chemical, isotropic etch, but still produce a vertical sidewall. This method is commonly used for Si etching with SF 6 /C 4 F 8, resulting in a C F polymer on the walls. 17 Recently, a cyclic SiCl 4 O 2 N 2 etching process for MIR Bragg gratings in GaAs was demonstrated. 18 The process consisted of an alternating SiCl 4 O 2 N 2 deposition phase and a SiCl 4 N 2 etch phase. In the following, we will discuss how to improve that concept with respect to high selectivity and simultaneous achievement of narrow and open patterns. First, it has to be pointed out that the SiCl 4 /SiCl 4 O 2 does not strictly represent a cyclic deposition and etching. Without bias as on sidewalls, SiCl 4 by itself can deposit a layer. This has been observed for both reactions with traces of O Ref. 15 or an intentional O 2 addition. 13,14 It is an open question whether it is helpful to distinguish sharply between deposition and etching if the etch step already induces some anisotropy on its own. The use of a slightly anisotropic etch phase in a cyclic process has been shown to result in smoother sidewalls at the expense of selectivity in Si etching. 19 However, in chlorine-based GaAs etching processes, the problem is not simply anisotropy. The achievable AR is limited by underetching caused by poor sidewall quality, involving strain 18 and possibly porosity. 16 Hence, we might as well think of periodic sidewall improvement by means of a dedicated step. Real devices have AlGaAs layers with 30% 45% Al in the MIR range and 10% 15% Al in the terahertz range. In this work, we show only the etching of GaAs for the sake of simplicity and due to the easier availability of bulk GaAs wafers. Preliminary tests with SiCl 4 O 2 processes on AlGaAs-QWIPs have displayed no notable difference in the profiles. No dependence on Al content has been observed as well for no O 2 Ref. 9 and O 2 addition. 14 In SiCl 4 RIE an etch rate slightly higher for AlGaAs than for GaAs has been reported. 12 II. EXPERIMENTAL SETUP Our experiments have been performed in an ICP etching system Sentech SI-500 that has been modified Fig. 1 for this experiment. All reactor walls are aluminum. The planar ICP coil consists of three parallel windings with one turn each and couples to the plasma via a Faraday shield and an Faraday shield AlOx to spectrometer SiCl 4 N 2 / O 2 sapphire window 1 mm cappillaries planar ICP source Si sapphire viewport sample ~ 13,56 MHz "CCP" ~ 13,56 MHz "ICP" 10 cm FIG. 1. Schematic of the SI500 etching system used. AlO X ceramic plate that seals the vacuum. Both electrodes are driven by radio frequency rf generators at MHz. rf power levels are referred to as ICP and capacitively coupled plasma CCP power, P ICP and P CCP. The electrodes are electrically driven with a fixed phase to each other. The reactor volume is 38 l and is pumped to a base pressure of 10 5 Pa. The gas flows are 20 SCCM SCCM denotes cubic centimeters per minute at STP for SiCl 4 at 0.4 Pa relating to an average residence time of 0.6 s in the reactor and 70 SCCM for all other gases. Two gas rings with holes that are integrated into the chamber wall have been used: one for SiCl 4 and one for both N 2 and O 2. The reactor pressure is maintained by an automated throttle valve that is sufficiently fast to maintain the chamber pressure for a limited time at the set point after all gases have been switched off. With gradually closing throttle valve, the residual pressure in the ring suffices for more than one second. The pressure in the SiCl 4 ring is about 100 Pa, while the other ring is about 1000 Pa. With a very short 1 s intermediate state where all the gases are off, the ring pressure of the preceding gas in the chopping sequence has already dropped by the time the next gas is switched on. Off times were kept sufficiently short so that at least 10% of the pumping aperture was left open by the throttle valve. By the described procedure, gas intermixing was minimized. All valves/flow controllers were controlled via a script language, allowing the change ramp in etch parameters during the process. The sample is loaded with a load lock pumped by a scroll pump to a transfer pressure of 3 Pa. This way, a small amount of air might enter the reactor when the sample is transferred. A 6 in. n-doped Si wafer is used as a carrier. A He back side pressure of 1200 Pa connects the carrier thermally to the chuck that is set to 10 C by a chiller. The temperature, as measured with a fiber-optic probe at the Si-wafer back side rises slowly to about 20 C during etching at the given chiller temperature. The 3 3 mm 2 GaAs sample is glued with vacuum oil to the Si carrier wafer in a way that no oil is exposed to the plasma. Two optical ports are used: one in the top dielectric plate vertically above the sample and one looking horizontally above the chuck. Prior to the experiments, the chamber was cleaned with a 5:1 SF 6 :O 2 mixture at 1100 W ICP power. JVST B-Microelectronics and Nanometer Structures

3 2272 Golka et al.: Time-multiplexed, inductively coupled plasma 2272 Further cleaning involved 1hO 2 plasma at 1100 W ICP and finally conditioning for 1 h with the respective gas chopping recipe. The etch mask used with all samples was a dense SiN X deposited by plasma enhanced chemical vapor deposition PECVD. There were only minor differences between samples in the fabrication of that mask. The samples with trenches and stripes were masked by 400 nm SiN X structured by a CHF 3 plasma, resulting in smooth 90 SiN X sidewalls. This SiN X had an index of n=2.0 at 632 nm, determined by ellipsometry. The planar PhCs had a similar mask that was 300 nm thick. The mask of the samples for scanning transmission electron microscopy STEM consisted of pillars with diameter of nm. The patterns were defined by e-beam lithography and have been prepared as described in Refs. 20 and 16. Then 50 nm Cr were deposited by the liftoff technique on a 500 nm thick PECVD SiN X layer that subsequently was etched in a SF 6 /CHF 3 plasma using Cr as a mask. After deep etching, the etched pillars were cut from the substrate and dispersed on a carbon membrane for STEM analysis. III. EXPERIMENTAL RESULTS First, the optimized continuous process is presented in which no parameter is changed while the discharge is burning. Then, the chopped process is based on these results. The optimization relies on scanning electron microscopy SEM images. If not otherwise noted, the SEM points onto the cleaved facet always after etching with 10 tilt in order to partly see the sample s front side. A. Planar inductive SiCl 4 discharge A pure inductive SiCl 4 plasma has a net deposition rate. This includes all parts of the chamber that if opened, heavily outgases HCl due to the reaction between air and Si Cl O. Deposition on the Si carrier wafer depends on the bombardment energy controlled by the chuck bias. At P CCP 10 W, the net deposition rate is zero and with P CCP further increased a net sputter removal will take place. Unfortunately, the exact value is badly reproducible. At the time of the experiments, the reactor was used for various hydrogen containing processes H 2, CHF 3, and NH 3 as well. We found that the deposition rate was much higher 250 nm/min at P ICP =400 W if the reactor had only seen 1 h SiCl 4 conditioning after a hydrogen containing process. On the other hand, introducing an additional O 2 plasma before SiCl 4 conditioning resulted in a deposition rate for an inductive SiCl 4 plasma that was near zero. This is in accordance with Ref. 21, where H is believed to assist Si Cl O growth. An O 2 plasma at 1 Pa and P ICP =1100 W reduces the H emission line at 656 nm to the noise level in min. Although the exact threshold P CCP for transition to deposition remains badly reproducible even after 60 min O 2 plasma, it makes the process results well reproducible, which we attribute to the removed hydrogen. The decision to fix P ICP =150 W in further experiments was based on the small undercut for GaAs samples at that power. Equally important was the fact that Optical emission (a.u.) Wavelength (nm) 397 nm 4 SiCl W 725 nm Cl ICP power (W) the upper sapphire window Fig. 1 remains transparent for many hours of plasma. At higher power, a deposit darkens the window quickly. On the other hand, P ICP should exceed the power 20 of the capacitive-inductive E-H transition of the plasma source. Figure 2 shows the emission intensities of Cl, SiCl 2, SiCl 3, and Si as a function of P ICP. Obviously, by adjusting P ICP the ratio of Cl to SiCl 2 concentration can be set. Since Cl can etch GaAs isotropically, more undercut would be expected for an increased Cl emission at higher P ICP. While the Cl intensity rises, the SiCl 2 line saturates, indicating an increased dissociation of all products at higher P ICP. SiCl 2 radicals, in particular, have been considered for the sidewall passivation formation. 22 Finally, it is interesting to note that despite the distinctly different plasma source geometries cylindrical in another reactor, 9 the results obtained for continuous N 2 /SiCl 4 etching of GaAs were optimum at the lower end of stable powers P ICP for that source as well. B. Continuous SiCl 4 etching 325 nm SiCl2 251 nm Si FIG. 2. Optical emission intensity from four different transitions as a function of ICP power. The inset shows a sample emission spectrum at 150 W. The four arrows correspond to the four line intensities plotted below. The aim of this section is first the development of a suitable anisotropic etch phase for the chopped process and then understanding of the limiting factors on performance of continuous etching. We start with the variation in chamber pressure. The magnitude of the negative wall angle Fig. 3 in GaAs profiles increases slightly with higher pressure. This should be simply due to the broader angular distribution of ions impinging onto the sample. Consequently, we keep the pressure to 0.4 Pa. That is, close to the limit given by the pumping efficiency of the setup. However, the effect of pressure was small in the investigated range of Pa. The smoothness of the profiles did not depend on pressure. The next etching parameter, the sample temperature, was kept at 20 C owed to the qualitative observation that elevated temperature did decrease the Si Cl O deposition rate. On the other hand, the etch rate of GaAs does not significantly increase with temperature. Next, the use of N 2 and O 2 as gas additives has been investigated. Addition of N 2, as in Ref. 9, J. Vac. Sci. Technol. B, Vol. 27, No. 5, Sep/Oct 2009

4 2273 Golka et al.: Time-multiplexed, inductively coupled plasma 2273 a) b) 10 µm 5µm FIG. 3. SEM images of sample etched under continuous conditions, P CCP =0.8 Pa. a Mesa. b Trench, cleaved after etching. resulted in an increased roughness in this work, while no direct benefit could be seen from the N 2 addition so we decided not to dilute with N 2 in the etch phase. The addition of 10% of O 2 under low bias conditions led to roughness as well. This can be attributed to deposition and etching competing with each other even on bombarded areas. A typical example for an O 2 flow equal to 10% is shown in Fig. 4. There, we can compare the sidewall I that was shielded from bombardment by the high AR in the trench to the sidewall II in the open center area that was more exposed to the plasma. In the latter II, the sidewall is intact, while in the first I it is not. This is again not advantageous to our aim of optimizing for small holes and simultaneously for open areas and can be attributed to an increased Cl production rate that has been observed for O 2 addition. 23,24 When going to even smaller percentages of O 2 flow, it gets increasingly difficult to distinguish between feed gas and background concentration. Finally, for the addition of 6% and 5% of O 2 1 and 3 SCCM, also no apparent increase in the sidewall thickness was observed. Next P CCP is adjusted. The transition from etching to deposition on the Si carrier can be seen by a decrease in the dc bias caused by covering the electrode with a nonmetallic film. The measured dc bias returns to the full value once P CCP is increased again. P CCP =30 W 120 V dc has been found to have a long term stable bias that is robust to slight changes in reactor conditioning. Smaller values are possible, a) b) top view: SiNx I. 1µm II. SiNx SiNx cleave SiNx 5µm FIG. 4. SEM image of sample etched with a flow ratio SiCl 4 :O 2 of 10:1. a Schematic top view of the mask pattern. The dashed line depicts the position of the cleave. b SEM view onto the cleaved facet as illustrated by the eye in a. In closed I areas the sidewall is damaged. No damage is seen in more open II areas where the plasma has more access to the sidewall. Note: the left hand area has not cleaved perfectly. I. II. FIG. 5.In situ measurements all referring to the same time axis see bottom. Two processes are shown that are exactly identical except for the choice of the gas X in the chopped sequence. a Gas chopping sequence. b Laser interferometer reflection signal with =632 nm. c Optical emission line intensity for various species. but are too close to the transition to the deposition regime. If P CCP comes too close to the threshold for deposition, etching is preferred at sites where a mask edge is present, likely due to ions reflected from the wall. As a consequence, a rough but very deep trench around masked areas forms. No sidewall angle near to 90 could be obtained in trenches with a pure SiCl 4 plasma. The O 2 addition improved the verticality in some cases but had the disadvantages described above. A typical non-90 trench shape is that shown in Fig. 3 b. However, for the cyclic process no complete anisotropy is needed in the etch phase for the net process to be completely anisotropic. 19 C. Time-multiplexed etching The gas chopping sequence used is shown in Fig. 5 a. A cycle comprises of four phases SiCl 4,N 2,O 2, and N 2. The discharge is burning at any time. Besides the little breaks with all the gases shut off, the SiCl 4 and O 2 phases are separated by two N 2 phases. These intermediate N 2 phases further prevent direct gas transfer between the SiCl 4 and O 2 phase. For now, all other process parameters are held constant throughout all phases to the values found in Sec. III B. Just gases are changed. As a cross-check, the same process is repeated but with N 2 instead of O 2 in the respective phase X. The duration of the N 2 phase has been chosen to be JVST B-Microelectronics and Nanometer Structures

5 2274 Golka et al.: Time-multiplexed, inductively coupled plasma 2274 about three times of the gas ring empty time, the O 2 phase duration has been optimized to result in 90 sidewalls in the trenches, given the SiCl 4 duration of 40 s, which was chosen beforehand. One 40 s long SiCl 4 phase etches about 600 nm of GaAs. The etch rate can be seen in real time using the interferometer signal Fig. 5 b. Each oscillation is produced from an additional 316 nm etch depth. The delayed onset of oscillations in the case X=O 2 is indicated by delay in Fig. 5 b. Because it is not seen for X=N 2, the delay must be attributed to a different chamber/sample surface termination. Besides inefficient sputter removal of an oxide on the sample floor, the delay could also be due to re-establishment of an equilibrium on the chamber walls that in the case X =O 2 have been passivated as well. This equilibrium is characterized by a Si Cl O layer on the chamber wall that has at least partly reacted with O Ref. 25 for X=O 2. Residual gaseous O 2 cannot be responsible for this effect since in the intermediate N 2 phase it was removed from the system. Small amounts of O 2 would increase the Cl concentration that again would increase the etch rate instead of stopping it. Besides the interferometric signal, some artifacts and drift from stray light and absorption in the window can be seen in the curve, but these cannot explain a delay of oscillations seen in all SiCl 4 phases for X=O 2. The observed delay is of the order of 7 s and in this particular case would represent the lower limit for the SiCl 4 phase duration. The optical plasma emission intensities versus time curves in Fig. 5 c are all recorded simultaneously with the interferometer signal by means of a detector array in the grating spectrometer. The wavelengths of the tracked emission lines have been chosen to not coincide with any other line present in some other phases of the chopped process. From the nitrogen intensities that exactly overlap for the two respective N 2 phases in the two processes X=O 2 and X=N 2, we can first conclude that the differences observed for the other lines are real and not due to a measurement artifact such as different window deposits, etc. The absolute intensity is difficult to interpret because, especially for O, small amounts of added gases can significantly alter the emission intensity of the other gas. Nevertheless, we can get a qualitative indication that no or little O 2 should be directly transferred to the SiCl 4 phase because the O line quickly decays marked tran. in Fig. 5 c after O 2 shut off. The Si line resembles the SiCl 2 line, except that it has a peak at the time when SiCl 4 is shut off. This peak is also seen in another decomposition product, Cl, and can be attributed to the longer chamber residence time during gas shut off. This peak is missing in the SiCl 2 trace probably because SiCl 2 decomposes relatively easily. A longer residence time should be equivalent to a larger P ICP Fig. 2 when thinking of the decomposition. The 397 nm SiCl 3 curve not shown in Fig. 5 is simply proportional to the SiCl 2 curve. The Cl line shows two remarkable differences between the two processes. First, for X=O 2 the Cl intensity in the SiCl 4 phase is larger. This is in line with the undercut that is observed in SEM images Fig. 4 for O 2 addition to a continuous process and the fact that O 2 addition indeed does not automatically FIG. 6. SEM images of cleaved facets of trenches top and nearby freestanding pillars bottom from the two processes displayed in Fig. 5. a and b X=O 2. c and d X=N 2. Etching time was 35 min total. improve the sidewall protection. Even more interesting is the increased Cl intensity denoted inc. in Fig. 5 c during the O 2 phase, which is highest during shut-off gas, as might be expected since at that point the pumping efficiency is smallest. However, the increase in the Cl peak from before to after the O 2 phase indicates that the O 2 plasma releases Cl. Cl must come from the sample, the chamber walls, and the carrier wafer which in combination has a large surface. No SiCl 4 gas is present and the remaining gaseous Cl species were rinsed in the intermediate N 2 phase. The Cl release further supports the assumption that O is not simply oxidizing the sidewall but actually exchanges O for Cl. 26 The samples etched in the two processes discussed above are shown in Figs. 6 a and 6 b for X=O 2 and Figs. 6 c and 6 d for X=N 2. The top portions of narrow trenches are compared in the top row of the figure. For trenches from X=O 2, scallops form, but the average wall is vertical and a visible sheet of sidewall deposit formed. For X=N 2, the trench wall straightens in deeper regions but it is retracted from the position defined by the mask. Almost no scallops can be seen in the trench. This is different for sidewalls on open areas Figs. 6 b and 6 d. For X=O 2, a smooth wall is formed, while for X=N 2, periodic damage/trenching into the sidewall is seen. From this it can be concluded not only that the passivation with O 2 works, but furthermore that in this process the passivation relies on the O 2 phase. This is distinctly different from reports where background O was used. The main requirement for this process stated in the beginning was that the top portion just below the mask resists the J. Vac. Sci. Technol. B, Vol. 27, No. 5, Sep/Oct 2009

6 2275 w = 900 nm d Aspect ratio (w/d) Golka et al.: Time-multiplexed, inductively coupled plasma Etch rate (nm/min) 2275 Cycle Nr. FIG. 7. SEM images of cleaved facet after etching of stripes. 共a兲 Different stripes with increasing widths from left to right. The leftmost, thinnest stripe broke ex situ and the sidewall deposit peeled off. 共b兲 Close-up 共BSE image兲 of the stripe indicated by an arrow in 共a兲. FIG. 9. Etch rate and AR of a trench as a function of time 共cycle number兲. The data have been obtained by measuring the scallop lengths. The data are obtained from a trench with width 900 nm 共inset兲. Etch rate refers to the 40 s SiCl4 step of the individual phase not the entire cycle. process for a long time. Figure 7 shows the results for the same process as shown above with X = O2, but for longer time 共75 min, 50 cycles兲. The AR of the smallest 共intact兲 rib is 30. From the remaining mask thickness of 150 nm, originally 400 nm, and the 50 m depth on open areas follows a selectivity of 200:1. The sidewall has detached 关cf. Fig. 7共b兲兴 from the GaAs stripe that is intact otherwise. Clearly, it can be seen that the sidewall shrank. From the fact that there is no undercut, it can be concluded that it shrunk after the process, probably during handling at atmosphere 共air兲. The thinnest rib in the series that decreases in thickness from right to left has been broken and the sidewall detached. This must have happened after etching as well since the thin GaAs stripe would have been completely etched within 1 min with the sidewall removed. The shape of the sidewall further hints on a tensile strain in the sidewall that has been released here. Another requirement on the process was simultaneous achievement of open and narrow areas. Figure 8共a兲 shows a trench from the same sample that is shown in Fig. 7. The trench profile 关Fig. 8共b兲兴 is very smooth up to about 1 m depth below the mask. The first scallop seems to be missing but the backscattered e 共BSE兲 picture 关Fig. 8共c兲兴 reveals a region that has been filled completely with a deposit. The sidewall position is retracted approximately 100 nm from the line defined by the SiNX mask edge, but this growth in the trench width remains constant from top to the bottom of the trench. Figure 8共c兲 further shows something like a void between SiNX and the Si O Cl sidewall that cannot be seen in the secondary e picture. The most probable explanation is a region filled with very low density material, possibly porous material that nevertheless was able to protect the underlying GaAs. The total etch depth of 17 m obtained for high AR trenches 共Fig. 8兲 converts into 50 m total depth on open structures 关Fig. 7共a兲, left兴 with AR 0. This aspect ratio dependent etching is inevitable for reactive ion etching, in general. The exact dependency of depth and AR is shown in Fig. 9. It is valid for a definite type of pattern that in this case is a trench of 1 m width. At AR= 9 the etch rate is almost a third of its value for open areas 共AR 0兲. At increasing depth the scallops amplitude decreases and at AR 9 the scallops become too shallow to be evaluated in SEM pictures. The top scallop s period/amplitude is roughly 1000/ 120 nm 共stripes兲 and 750/150 nm 共trenches兲. This roughness should not negatively influence the optical attenuation of real devices. First the amplitude is less than typical MIR or terahertz wavelengths and second, the corrugation is parallel to the plane of possible light propagation. b) a) 1 µm c) D. Optimization for holes 1 µm 10 µm FIG. 8. SEM images 共a兲 of the cleaved facet perpendicular to a 1 m wide trench. 共b兲 Close-up of entrance area of the trench, where the scalloping is more pronounced than deeper in the hole. 共c兲 BSE image of the same spot as in 共b兲. JVST B - Microelectronics and Nanometer Structures Holes have again one dimension less than trenches in which ions and radicals could have access to the etch floor. As a consequence the etch rate in holes decays even quicker with increased AR than it does in trenches. If one simply increases the total number of cycles, the selectivity starts to be an issue. One strategy to solve this dilemma is to speed up the etch rate toward the end of the process by increasing the Cl concentration and going into an even more chemical regime. In other processes, this would easily destroy the fragile equilibrium between deposition and etching that protects the

7 2276 Golka et al.: Time-multiplexed, inductively coupled plasma 2276 a) b) a) b) 2µm 1µm 300 nm FIG. 10. Holes etched with cyclic process. Three types of cycles have been used for this sample sequentially. First s P ICP SiCl 4 =150 W, then s 150 W, and last s 300 W. These expressions denote the number of cycles and their respective durations of the SiCl 4 phase with these phases respective P ICP. The O 2 and N 2 phases remain exactly the same in all cycles. top region of sidewall that is exposed most to the plasma. However, in our case this region is already covered with a 100 nm thick wall at the time we would like to change the process conditions. In Fig. 10 holes are shown that have been etched with a cyclic process. After reaching AR 5 with a chopped process at P ICP =150 W, P ICP is increased to 300 W and SiCl 4 remains on continuously for 200 s. At this power cf. Fig. 2 the Cl production is considerably higher, leading to a higher GaAs etch rate while the mask sputtering rate changes little. Due to the missing O 2 phases in the 200 s of uninterrupted etching, the hole broadens at the bottom. The sidewall is damaged in the region up to 1 m above the switching point to the 300 W phase. This region comprises of about five SiCl 4 phases where the time for sidewall growth was insufficient. The top part of the hole where the sidewall was growing since the start of the process the sidewall is intact. The scallop resulting from the 200 s etch phase is about 1 m high, relating to an etch rate of 300 nm/min. Therefore, it seems feasible to increase P ICP later in the process if the sidewall passivation of the preceding scallops is sufficient. This is likely to be achieved with a longer O 2 phase. Making the SiCl 4 phases longer at large depth should have a positive effect on the selectivity because less N 2 and O 2 phases exist that remove sputter the mask without etching GaAs. Also generally scalloping is not as pronounced at large depth; therefore, we should start with short SiCl 4 phases and then increase their length. These measures have been implemented in the process shown in Fig. 11. The O 2 phase remains the same but is extended by an additional 10 s at P ICP =250 W toward the end of the process inset in Fig. 11 c. All SiCl 4 phases start and end at P ICP =150 W but later on in the process they are ramped up to higher power. The ramping avoids jumps in power to the adjacent N 2 phases that might cause transitional instability in the rf matching network. In Fig. 11 a the transitions between cycle types 1, 2, and 3 can be clearly distinguished. The first scallop of each type is pronounced. Then amplitude and height slowly decay until the next cycle type is started. In the plot of rate versus cycle number Fig. 11 c only data points from scallops that clearly appear in SEM pictures are plotted. In the data points for an open structure Etch rate (nm/min) c) 3µm open structure PhC s (150 W) s (150 W) 5 55 s (200 W) + add. 10 s O s (300 W) + add. 10 s O 2 Cycle Nr. FIG. 11. Ramped cyclic process for planar PhC pattern. a SEM image of cleaved facet. Holes are approximately 900 nm in diameter. b Close-up of the first scallops. Four types of cycles numbered 1 4 have been used for this sample sequentially. c Etch rate during the SiCl 4 phase vs the amount of completed cycles. The legend shows the different parameters in the four cycle types. that has been etched simultaneously we see the increase in etch rate for higher P ICP. In the PhC this can ease the slow down at high AR but not stop it. However, at the last cycle number 50, depth 10 m the etch rate is still 100 nm/min. The selectivity is 33 and the top portion of the hole Fig. 11 b is well covered with a sidewall, no gap between sidewall and SiN X mask is visible, and the first scallop in the GaAs is smooth and unaltered by subsequent etch cycles. Hence, a thicker mask and more cycles might further increase the AR of 10 shown here. Large structures etched simultaneously turn out to be 50 m deep with intact sidewalls Figs. 12 a and 12 b. Trenches w=900 nm are 15 m deep and slightly growing in width with increasing AR. This effect is counterintuitive since holes are straight and open walls Fig. 12 b are even slightly overcut. One would have expected that generally overcut increases in the series hole, trench, open area. Trenches obviously had a larger ratio of chemical attack over sidewall deposition than both holes and open areas. In Fig. 12 a we can furthermore see the changing scallop geometry with respect to the etch phase duration. By making the etch phase longer s, the corrugation period nm and amplitude nm can be increased intentionally. Making the etch duration very short is a common way to remove corrugation/roughness in Si based devices. 17 J. Vac. Sci. Technol. B, Vol. 27, No. 5, Sep/Oct 2009

8 2277 Golka et al.: Time-multiplexed, inductively coupled plasma 2277 A FIG. 12. Sample etched simultaneously with the PhC sample in Fig. 11. 共a兲 Rib. Clearly the first s and the first four scallops with 40 s etch time 共SiCl4 phase兲 can be seen. 共b兲 Cut through a large mesa edge. 共c兲 Trench. B FIG. 14. Composition of the passivation layer in terms of atomic percentage measured with EDX. The EDX signal is spatially resolved along the line from A to B as indicated in the dark field STEM image 共inset兲. E. Analysis of the sidewall The nature of the passivation layer was analyzed ex situ by energy dispersive x-ray spectroscopy 共EDX兲 coupled to a STEM 共Jeol 2200FS 200 kv field emission STEM/TEM with a CEOS GmbH hexapole Cs corrector for the probe-forming lens, and equipped with Jeol EDX system兲. The presence of any passivation layer on the pillar edge can be detected with a spatial resolution better than 1 nm, and the composition of this layer can be estimated with a typical spatial resolution of 5 nm using the EDX spectroscopy system installed in the microscope with the transmitted electron beam as the excitation source. A typical STEM image is shown in Fig. 13. The freestanding pillars used for EDX analysis were about 6 m high etched by 25 cycles with a 20 s SiCl4 phase. Assuming that all cycles deposited the same amount of sidewall in the top region 共 200 nm thick兲 and further assuming that sputtering removal was negligible, then the deposition rate was 8 nm/ cycle. With exception of the first scallop, the sidewall thickness in Fig. 13共a兲 decreases linearly with the cycle number. The bright glow just below the mask is attributed to high STEM signal from a reduced density of the sidewall a) 1 µm b) 200 nm FIG. 13. Bright field STEM image of a pillar that has been etched with a cyclic process with s 共150 W兲 SiCl4 phases. JVST B - Microelectronics and Nanometer Structures density or a crack that developed ex situ. This effect can be seen in STEM but not in secondary e SEM and is likely to be related to the dark region in BSE SEM images 关Fig. 8共c兲兴. The composition of the passivation layer in terms of atomic percentage is reported on in Fig. 14. A horizontal EDX profile is performed across the passivation layer. The atomic percentages were deduced from the intensities of the K lines of the EDX spectrum for all elements. A Si-rich silicon oxide layer with Si/O 1 / 1 is found. Chlorine is detected as a weak trace 共Cl% 1%兲. Ex situ analysis cannot be used to accurately determine high Cl content because water vapor from the ambient air would quickly reduce the Cl content through the formation of HCl. However, other works15,18 have shown that at least few percent of Cl can remain in similar air-exposed sidewall layers of comparable thickness 共 100 nm兲. Ga and As are also only weakly present 共Ga% 5% and As% 2.6%兲 with an increase in the As and Ga signal when the transmission electron spot starts to penetrate into the GaAs pillar core. No nitrogen 共N% = 0%兲 could be detected in this layer in spite of the two plasma N2 phases used in one process cycle, indicating that nitridation is not a passivating mechanism. This lack of N is consistent with previous sidewall passivation analysis performed on InP samples etched in N2 / Cl2-based plasmas.16 The exact values of atomic percentages may depend on the K factor value used to deduce the atomic percentage from the line intensities. In order to confirm the Si/O ratio of 1 / 1 found in the passivation layer, a powder obtained from pure fused silica 共Si/ O = 1 / 2兲 was used as a standard to precisely adjust the K factor for O. It was observed that the default K-factor value led to a slight overestimation of the O percentage by 5%. The data in Fig. 14 have been obtained using the K factors deduced from the SiO2 standard. The formation of a Si-rich silicon oxide passivation layer on the sidewall of InP patterns has previously been reported in Cl2 H2 and HBr inductively coupled plasmas for both GaAs 共Ref. 20兲 and InP.16 However, as soon as small

9 2278 Golka et al.: Time-multiplexed, inductively coupled plasma 2278 amounts of O 2 are added to these process, the sidewall stoichiometry changed from SiO to SiO 2. Observation of SiO 2 with EDX is reported for SiCl 4 O 2 etching 13 and for SiCl 4 /Cl 2 with O traces 15 as well. Deposit formed in the SiCl 4 O 2 N 2 deposition phase of a chopped process 18 was SiO 2 as well. The sidewall deposition rate in this work is higher or at least comparable to that in the works cited above, while the Si/O ratio is not. Hence the sidewall formation mechanism must have been different to some extent. Si O Cl sidewalls also form in Si etching for transistor gates. Here O 2 Cl 2 HBr are used as etch gases. SiCl 2 that is formed from etched Si is reported to be the dominant radical, 22 governing the wall deposition/etching equilibrium that can lead to process drift 27 due to changing chamber wall coverage. A SiO X sidewall deposit is found on the transistor gate after the etch process. The process has an etch stop on the underlying SiO 2 layer. Desvoivres et al. 26 used x-ray photoelectron spectroscopy to compare the sidewalls of samples etched with and without overetch time after the end point. During overetch only Br/Cl is available but unlike in the main etch no more Si. In the overetch O substitutes Cl or Br in the sidewall. Based on the MIR spectra 25 recorded by a total internal reflection probe installed on the chamber wall during Cl 2 /O 2 Si etching, it is proposed that the observed Si Cl O film is deposited through oxidation of SiCl X radicals. These radicals that are responsible for the film formation are adsorbed on the sample and chamber walls. No gas phase reaction plays a considerable role for deposition. Tiller and Sameith 24 proposed chamber wall contamination by SiCl 2 N in a pure SiCl 4 plasma. With the in situ measurements used in our work we have no evidence for a specific stoichiometric Si Cl ratio deposited in SiCl 4 phase. However, the above confirms that a Si Cl layer with little O is formed during the SiCl 4 phase, and that in the O 2 phase the plasma chemistry exchanges Cl for O. Since almost no Cl is found in the sidewall, this exchange can be assumed complete. Hence we can assume that the Si content is defined by cross-linking of SiCl 2 or similar SiCl X. This takes place before O introduction. In the subsequent O 2 phase O cannot further oxidize the sidewall, it can just exchange the Cl in the predefined sites. The absence of O in the geometric formation of the sidewall could further explain the smoothness of the scallops cf. Fig. 13. On GaAs surfaces no micromasking by SiO can take place since O plays a minor role until the O 2 phase starts. IV. CONCLUSION We have presented a cyclic etch process for the etching of GaAs in a planar ICP-RIE. The development of this process was motivated by the drawbacks presented for continuous SiCl 4 etching and the difficulty to improve the process by continuous O 2 addition. The main concern was the ability to etch structures with very high AR simultaneously to low AR structures with high selectivity to a standard SiN X mask. The improvement in verticality and sidewall stability was achieved by a chopping cycle in which an O 2 passivation phase is separate from a SiCl 4 etch/deposition phase. A chopping sequence SiCl 4 N 2 O 2 N 2 SiCl 4 is used to ensure this. In situ laser interferometry reveals that oxygen passivation delays the onset of fast etching in the subsequent etch phase. Optical emission spectroscopy shows that O introduction is freeing Cl. We proposed that O is substituting Cl in a Si Cl layer deposited previously on all chamber and sample walls. This is further substantiated by EDX analysis showing a Si:O ratio of 1:1 which was in contrast to results published for continuous O 2 addition where Si:O was 1:2. Furthermore, the layer had low density but contained no Cl. The sidewall deposit was stable upon a change in process parameters and/or if no more O 2 was flowing. All sidewalls showed scallops that relate to gas cycling. These have a typical amplitude of 100 nm, which should not generate too high scattering losses at MIR wavelengths. The inside of the scallops was smooth, from which we conclude that no micromasking due to Si O particles was present. Trenches with width of 1 m were achieved that had a depth of 17 m. To transfer the process that worked well for trenches to the fabrication of holes with 1 m diameter, it was helpful to increase the ICP power toward the end of the process. This enhances the etching aspect of SiCl 4, as shown by optical emission spectroscopy. Increasing ICP power reduced the slow down of the etch rate at increased AR. With the chopped process, an AR of 30 is obtained for isolated stripes with a very high selectivity against the SiN X mask reaching 200:1 in open areas. The achieved AR of 10 in 1 m diameter holes and the dimensional accuracy make this process a good candidate for high Q PhC cavities for GaAs MIR to terahertz optoelectronics. ACKNOWLEDGMENTS Jens Pfeiffer and Ralf Behmel are gratefully acknowledged for their technical help. Luc le Gratiet of LPN is acknowledged for precious assistance in e-beam lithography. This work was supported by the BMWi German Federal Ministry of Economics and Technology through Grant No. KA FK7 in the project Pro INNO II. 1 R. J. Shul, G. B. McClellan, R. D. Briggs, D. J. Rieger, S. J. Pearton, C. R. Abernathy, J. W. Lee, C. Constantine, and C. Barratt, J. Vac. Sci. Technol. A 15, L. Sirigu, A. Rudra, E. Kapon, M. I. Amanti, G. Scalari, and J. Faist, Appl. Phys. Lett. 92, L. Sirigu, R. Terazzi, M. I. Amanti, M. Giovannini, J. Faist, L. A. Dunbar, and R. Houdre, Opt. Express 16, O. P. Marshall, V. Apostolopoulos, J. R. Freeman, R. Rungsawang, H. E. Beere, and D. A. Ritchie, Appl. Phys. Lett. 93, R. Colombelli et al., Science 302, S. Schartner, S. Golka, C. Pflügl, W. Schrenk, A. M. Andrews, T. Roch, and G. Strasser, Appl. Phys. Lett. 89, D. Dini, R. Köhler, A. Tredicucci, G. Biasol, and L. Sorba, Phys. Rev. Lett. 90, R. Ferrini, B. Lombardet, B. Wild, R. Houdre, and G.-H. Duan, Appl. Phys. Lett. 82, S. Golka, C. Pflügl, W. Schrenk, and G. Strasser, Appl. Phys. Lett. 86, S. Höfling, J. P. Reithmaier, and A. Forchel, IEEE J. Sel. Top. Quantum Electron. 11, M. V. Kotlyar, L. O Faolain, R. Wilson, and T. F. Krauss, J. Vac. Sci. Technol. B 22, J. Vac. Sci. Technol. B, Vol. 27, No. 5, Sep/Oct 2009

10 2279 Golka et al.: Time-multiplexed, inductively coupled plasma H. Moussa, R. Daneau, C. Meriadec, L. Manin, I. Sagnes, and R. Raj, J. Vac. Sci. Technol. A 20, S. Varoutsis et al., J. Vac. Sci. Technol. B 23, Y. P. Song, P. D. Wang, C. M. Sotomayor Torres, and C. D. W. Wilkinson, J. Vac. Sci. Technol. B 12, H. Takano, K. Sumitani, H. Matsuoka, K. Sato, O. Ishihara, and N. Tsubouchi, J. Vac. Sci. Technol. B 14, S. Bouchoule, G. Patriarche, S. Guilet, L. Gatilova, L. Largeau, and P. Chabert, J. Vac. Sci. Technol. B 26, L. A. Donohue, J. Hopkins, R. Barnett, A. Newton, and A. Barker, Proc. SPIE 5347, S. Golka, S. Schartner, W. Schrenk, and G. Strasser, J. Vac. Sci. Technol. B 25, B. Volland, F. Shi, P. Hudek, H. Heerlein, and Ivo. W. Rangelow, J. Vac. Sci. Technol. B 17, S. Bouchoule, S. Azouigui, S. Guilet, G. Patriarche, L. Largeau, A. Martinez, L. Le Gratiet, A. Lemaitre, and F. Lelarge, J. Electrochem. Soc. 155, H L. Gatilova, S. Bouchoule, S. Guilet, and P. Chabert, J. Vac. Sci. Technol. A 27, G. Cunge, M. Kogelschatz, and N. Sadeghi, J. Appl. Phys. 96, R. H. Burton and G. Smolinsky, J. Electrochem. Soc. 129, H.-J. Tiller and S. Sameith, Contrib. Plasma Phys. 30, S. J. Ullal, H. Singh, V. Vahedi, and E. S. Aydil, J. Vac. Sci. Technol. A 20, L. Desvoivres, L. Vallier, and O. Joubert, J. Vac. Sci. Technol. B 19, A. Agarwal and M. J. Kushner, J. Vac. Sci. Technol. A 26, JVST B-Microelectronics and Nanometer Structures

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry

Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry Anisotropic and Smooth Inductively Coupled Plasma (ICP) Etching of III-V Laser Waveguides using HBr-O 2 Chemistry S. Bouchoule a), S. Azouigui, S. Guilet, G. Patriarche, L. Largeau, A. Martinez, L. Le

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

P. Strasser, a R. Wüest, and F. Robin Communication Photonics Group, Electronics Laboratory (IfE), ETH Zurich, CH-8092 Zurich, Switzerland

P. Strasser, a R. Wüest, and F. Robin Communication Photonics Group, Electronics Laboratory (IfE), ETH Zurich, CH-8092 Zurich, Switzerland Detailed analysis of the influence of an inductively coupled plasma reactive-ion etching process on the hole depth and shape of photonic crystals in InP/ InGaAsP P. Strasser, a R. Wüest, and F. Robin Communication

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

3-1-2 GaSb Quantum Cascade Laser

3-1-2 GaSb Quantum Cascade Laser 3-1-2 GaSb Quantum Cascade Laser A terahertz quantum cascade laser (THz-QCL) using a resonant longitudinal optical (LO) phonon depopulation scheme was successfully demonstrated from a GaSb/AlSb material

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Stimulated Emission Devices: LASERS

Stimulated Emission Devices: LASERS Stimulated Emission Devices: LASERS 1. Stimulated Emission and Photon Amplification E 2 E 2 E 2 hυ hυ hυ In hυ Out hυ E 1 E 1 E 1 (a) Absorption (b) Spontaneous emission (c) Stimulated emission The Principle

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Praktikum zur. Materialanalytik

Praktikum zur. Materialanalytik Praktikum zur Materialanalytik Energy Dispersive X-ray Spectroscopy B513 Stand: 19.10.2016 Contents 1 Introduction... 2 2. Fundamental Physics and Notation... 3 2.1. Alignments of the microscope... 3 2.2.

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature12036 We provide in the following additional experimental data and details on our demonstration of an electrically pumped exciton-polariton laser by supplementing optical and electrical

More information

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production White Paper Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production The properties of InP which combine a wide band gap with high electron mobility, make it a desirable

More information

Semiconductor Disk Laser on Microchannel Cooler

Semiconductor Disk Laser on Microchannel Cooler Semiconductor Disk Laser on Microchannel Cooler Eckart Gerster An optically pumped semiconductor disk laser with a double-band Bragg reflector mirror is presented. This mirror not only reflects the laser

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Channel Optical Waveguides with Spatial Longitudinal Modulation of Their Parameters Induced in Photorefractive Lithium Niobate Samples

Channel Optical Waveguides with Spatial Longitudinal Modulation of Their Parameters Induced in Photorefractive Lithium Niobate Samples Russian Forum of Young Scientists Volume 2018 Conference Paper Channel Optical Waveguides with Spatial Longitudinal Modulation of Their Parameters Induced in Photorefractive Lithium Niobate Samples A D

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

(b) Spontaneous emission. Absorption, spontaneous (random photon) emission and stimulated emission.

(b) Spontaneous emission. Absorption, spontaneous (random photon) emission and stimulated emission. Lecture 10 Stimulated Emission Devices Lasers Stimulated emission and light amplification Einstein coefficients Optical fiber amplifiers Gas laser and He-Ne Laser The output spectrum of a gas laser Laser

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida Optical and Photonic Glasses : Femtosecond Laser Irradiation and Acoustooptic Effects Professor Rui Almeida International Materials Institute For New Functionality in Glass Lehigh University Femto second

More information

Supporting Information Available:

Supporting Information Available: Supporting Information Available: Photoresponsive and Gas Sensing Field-Effect Transistors based on Multilayer WS 2 Nanoflakes Nengjie Huo 1, Shengxue Yang 1, Zhongming Wei 2, Shu-Shen Li 1, Jian-Bai Xia

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA.

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Abstract: By electrically segmenting, and series-connecting

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Nanophysics: Main trends

Nanophysics: Main trends Nano-opto-electronics Nanophysics: Main trends Nanomechanics Main issues Light interaction with small structures Molecules Nanoparticles (semiconductor and metallic) Microparticles Photonic crystals Nanoplasmonics

More information

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France Surface loss rates of and Cl radicals in an inductively coupled plasma etcher derived from time-resolved electron density and optical emission measurements G. A. Curley, L. Gatilova, S. Guilet, and S.

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Cl2-based inductively coupled plasma etching of photonic crystals in InP Heijden, van der, R.; Andriesse, M.S.P.; Carlstrom, C.F.; Drift, van der, E.W.J.M.; Geluk, E.J.; van der Heijden, R.W.; Karouta,

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Emission Spectra of the typical DH laser

Emission Spectra of the typical DH laser Emission Spectra of the typical DH laser Emission spectra of a perfect laser above the threshold, the laser may approach near-perfect monochromatic emission with a spectra width in the order of 1 to 10

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Blue-green Emitting Semiconductor Disk Lasers with Intra-Cavity Frequency Doubling

Blue-green Emitting Semiconductor Disk Lasers with Intra-Cavity Frequency Doubling Blue-green Emitting Semiconductor Disk Lasers with Intra-Cavity Frequency Doubling Eckart Schiehlen and Michael Riedl Diode-pumped semiconductor disk lasers, also referred to as VECSEL (Vertical External

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information