ADVANCED process control (APC) has been recognized

Size: px
Start display at page:

Download "ADVANCED process control (APC) has been recognized"

Transcription

1 276 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 Run by Run Advanced Process Control of Metal Sputter Deposition Taber H. Smith, Duane S. Boning, Member, IEEE, Jerry Stefani, Member, IEEE, and Stephanie Watts Butler Abstract Metal sputter deposition processes for semiconductor manufacturing are characterized by a decrease in deposition rate from run to run as the sputter target degrades. The goal is to maintain a desired deposition thickness from wafer to wafer and lot to lot. Run by run (RbR) model-based process control (MBPC) has been applied to metal sputter deposition processes at Texas Instruments. RbR MBPC, based on the exponentiallyweighted moving-average filter, provides the ability to track and compensate for process drifts without a priori assumptions on their magnitude or consistency (from sputter target to sputter target or collimator to collimator). The application of RbR MBPC resulted in an improved C pk of 44% for aluminum sputter deposition, while reducing the number of lot-based monitor wafers by a factor of three. The application of RbR MBPC to the titanium sputter deposition process eliminated look-ahead test runs and reduced the number of monitor wafers by a factor of three. At the same time, C pk was improved by 10% with the application of RbR MBPC. Index Terms Advanced process control, exponentially weighted moving average, predictor corrector control, run by run process control, sputter deposition. I. INTRODUCTION ADVANCED process control (APC) has been recognized as an enabling technology for meeting the increased efficiency and product quality demands which will drive the future profitability of semiconductor manufacturing facilities. The building blocks of an advanced control system are industrial-quality APC methods, sensor and diagnostic technologies, and integration tools. The introduction of new sensors and process diagnostic tools, the maturation of existing technologies in these areas, and the development of integration tools and methodologies are making the application of APC methodologies a reality for the industry. Currently, there exists three primary focus areas for the application of APC techniques: statistical process control (SPC) with model-based process control (MBPC) [1] [3], run by run (RbR) MBPC [4] [10], and real-time process control (RTPC) [11] [15]. The application of RTPC is often difficult due to current limitations of integration with tool software and reliability of, and longterm reproducibility of, real-time sensors [16]. On the other hand, SPC with MBPC and RbR MBPC are in the final Manuscript received May 15, 1997; revised October 28, T. H. Smith and D. S. Boning are with the Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge MA USA ( taber@mit.edu; boning@mtl.mit.edu). J. Stefani and S. W. Butler are with the Semiconductor Process and Device Center, Texas Instruments Incorporated, Dallas, TX USA ( stefani@spdc.ti.com). Publisher Item Identifier S (98) development stages, with experimental results demonstrating significant improvement in wafer processing efficiency and quality (in terms of test and monitor wafer savings, reduced machine downtime, increased wafer throughput, increased process capability, and decreased product scrap) [17]. RbR MBPC methods are most applicable to processes which exhibit good quality and reliability within a lot, but whose lot to lot (run by run) performance is plagued by machine wear or inaccurate assumptions made about the consistency of replaceable components. These characteristics suggest that control actions made on a run by run basis may be helpful. In addition, many tools have outputs whose values are affected by machine wear across all processes performed in that tool, but at the same time these values are dependent on the particulars of each process. The use of a process model in MBPC provides the ability to incorporate these process-dependent effects while maintaining an accurate estimate of how the machine state changes from lot to lot. MBPC often results in reduced wafer processing complexity, increased processing efficiency, and improved processing quality. The reduced complexity arises because the controller separates the process and machine dependencies, thereby allowing the operators the freedom from monitoring these differences themselves. The increased efficiency is due to the decreased number of lookahead and lot-based monitor wafers required to effectively control a process. The separation of process variation from the machine variation allows the controller to better estimate the machine state and utilize this information to increase the product quality. Increased product quality is associated with improved process performance, such as process capability, or Metal sputter deposition for semiconductor manufacturing, as we will show, can benefit greatly from RbR MBPC. In particular, sputter deposition experiences a characteristic drift in the deposition rate which occurs across all processes in the tool (or a single chamber of the tool). There is also a dependency of the deposition rate on the final film thickness (process dependence). In this paper, we will demonstrate that these characteristics make RbR MBPC an effective control method for metal sputter deposition. We will apply two RbR MBPC methods, the EWMA controller and the predictorcorrector controller, to two metal sputter deposition processes. We will first employ these controllers in a focused application to the aluminum sputter deposition process with a single thickness goal. We will then describe the general application of these controllers to sputter deposition processes. For titanium, we will use a general modeling approach to separate the /98$ IEEE

2 SMITH et al.: ADVANCED PROCESS CONTROL OF METAL SPUTTER DEPOSITION 277 Fig. 2. Historical data for aluminum sputter deposition rate. Fig. 1. Diagram of metal sputter deposition process. process dependency (rate versus thickness) from the equipment disturbances (drift and noise). We will also compare the two RbR MBPC techniques and identify where each is strongest. Section II describes the sputter deposition process and examines historical data for two specific sputter deposition processes. The run by run methods and models which characterize the sputter deposition processes are presented in Section III. Section IV contains the experimental results. Finally, Section V presents conclusions and discusses future work. II. SPUTTER DEPOSITION PROCESSES A basic diagram for metal sputter deposition is shown in Fig. 1. Ions are discharged from the plasma which dislodge particles from the metal sputter target. These particles condense on the surface of the wafer. A honeycomb-like collimator is often placed above the wafer to improve bottom coverage in contacts/vias. The goal is to maintain a desired deposition thickness from wafer to wafer and lot to lot. Achieving the desired film thickness can be difficult because metal sputter deposition processes are characterized by a decrease in deposition rate as the sputter target degrades and material builds up in the collimator. Inconsistencies in the gas flows, vacuum pressure, supplied power, and molecular uniformity of the sputter target contribute various amounts of noise, or variation, in the measured deposition rate in addition to the characteristic drift which occurs from wafer to wafer. In addition, a single chamber in a sputter tool may process several different lot types in which the desired film thicknesses can span a wide range of values. A variety of process goals adds another level of difficulty because the deposition rate can depend on the final film thickness. In this paper, we consider two metal sputter deposition processes, aluminum and titanium, on an Applied Materials Endura 5500, in the Semiconductor Process and Device Center (SPDC) at Texas Instruments. A. TiN/Al-0.5% Cu/TiN Stack The first process we considered was the deposition of aluminum with 0.5% copper at a single desired thickness in a stack of titanium-nitride (TiN), Al-0.5% Cu, and TiN, without the use of a collimator. The TiN thicknesses are small relative to the aluminum thickness and are not considered to adversely affect the measurement of the aluminum sheet resistance. Consider the historical data for this process shown in Fig. 2. Here we can see the characteristic drift in the deposition rate caused by the degradation of the target over the long term (in kilowatt-hours, KWH). It can also be seen from Fig. 2 that the rate at which the process drifts varies from target to target, and the drift rate may change over the life of a single target. Finally, the starting deposition rate may differ from target to target, as well. B. Titanium The second process we considered was the collimated deposition of titanium with desired deposition thicknesses spanning a range of 400 Å. As with the aluminum case, the deposition of titanium is characterized by a persistent drift with significant process noise. In fact, the drift in deposition rate is more pronounced for titanium (larger percentage decrease) due to the build up of material inside the collimator. Fig. 3(a) shows the histories for two consecutive collimators for a range of thicknesses as a function of the collimator age (in KWH). The rate appears quite noisy. However, for a reduced range of thicknesses for collimator #2, shown in Fig. 3(b), the rate appears less noisy, and the persistent drift is more apparent. Similar results were found for small ranges of thicknesses centered elsewhere across the 400-Å total range. These data suggest that the deposition rate is a function of the final film thickness. III. RUN BY RUN MODEL-BASED PROCESS CONTROL Maintaining a desired film thickness for the processes described in Section II is difficult since we cannot know a priori what the magnitude of the drift will be. Therefore, in order to control the process, we must maintain an accurate estimate

3 278 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 (a) Controlled thickness for MBPC with SPC for metal sputter deposi- Fig. 4. tion. (b) Fig. 3. (a) Titanium sputter deposition rate versus collimator age for all thicknesses. (b) Titanium sputter deposition rate versus collimator age for a 100 Å range of final film thicknesses. of the deposition rate over the life of the sputter target and collimator. Two common methods for control assume the drift rate is constant over the life of a sputter target. These schemes are typically employed internally to the sputter deposition tool itself. Open-loop compensation strategies which make this constant drift assumption assume the rate on run n is a function of the form Rate Rate (1) where is a fixed (negative) constant and is the age of the sputter target in KWH. In the first control method, termed time compensation, the sputter tool utilizes (1) to compensate for the drop in rate by incrementally adding additional process time to the recipe to maintain the thickness goal over the long term. The engineer specifies the value in (1) for each new target/collimator. A second method, termed power compensation, also assumes a constant drift in rate while adding the power setting to the underlying rate function as follows: Rate Rate (2) where is a known function of the power,, on run The sputter tool compensates for the decrease in rate due to the drift term by increasing power to maintain Rate Rate Again, the engineer specifies explicitly the increase in watts per KWH through While both time and power compensation can be effective control strategies, they inherently do not take into account that each target/collimator generally does not have the same drift rate or that the rate may change over the life of the target/collimator. Thus, these open-loop control techniques require additional supervision in the form of continual monitoring and tweaking of the process time (whether in an ad hoc fashion or utilizing, for instance, SPC techniques) to maintain the deposition thickness at a desired value. This supervision typically requires many test runs and/or lot-based monitor wafers, increasing cost and decreasing the time the tool is available to do useful work. For those tools which are not capable of internal compensation (either time or power), an alternative combines SPC with MBPC. In SPC with MBPC, SPC is used to monitor the drift in deposition rate. When control limits are reached, or when some supplementary statistical control rules are violated, the rate estimate (the process model) is updated. The update generally includes calculating a new rate based on the data points which triggered the out-of-control condition. The updated rate estimate is used to calculate new process times for subsequent lots. An example of the controlled thickness resulting from SPC with MBPC with control limits only is shown in Fig. 4. Fig. 4 indicates that while reasonable control is achieved, there exists room for further improvement between model updates. One could argue that a better choice of control limits or statistical rules could improve process performance. However, SPC does not take advantage of our knowledge that the process is, in fact, drifting. RbR MBPC methods, though, are excellent for controlling drifting processes. A. Controllers Based on Exponentially-Weighted Moving-Average Filtering The drifting nature of metal sputter deposition indicates that continual changes to the process model and recipe are

4 SMITH et al.: ADVANCED PROCESS CONTROL OF METAL SPUTTER DEPOSITION 279 Fig. 5. Example of EWMA rate estimation and PCC rate prediction for a drifting process. necessary to compensate for the drift. A more appropriate model for metal sputter deposition is of the form Rate Rate (3) where Rate is the estimate of the rate at the beginning of the target/collimator life and is the estimated error in the deposition rate (from Rate[0]) on run In addition, we would like to adjust this estimate and make changes to the deposition time based on (3) every run (or lot). In this paper, we consider two particular implementations of this strategy, referred to as RbR MBPC. These methods are based on the exponentially-weighted moving-average (EWMA) filter and have been shown to provide excellent control of processes with a persistent drift [8]. The first implementation of a RbR MBPC strategy, referred to here as the EWMA controller, uses a single EWMA filter to update the value of every run (based on a lot-based monitor wafer). This update is of the form: where Equivalently, Rate Rate (4) Rate Rate (5) Rate Rate is the filter factor, or weight. The time for the next run is then computed using (3) as follows: Desired Thickness Process Time (7) Rate The EWMA controller effectively smoothes out the past data every run in order to best estimate the current process state. The EWMA controller is shown schematically in Fig. 5 for a drifting process. A weighted average of the model errors from previous runs is used to update the current estimate of the rate. If the drift is relatively slow, with frequent model (6) Fig. 6. Deposition rate versus final film thickness for titanium sputter deposition. updates, the EWMA controller does a good job of tracking the process state. For instance, the predicted deposition rate for run number 35 in Fig. 5 using the errors from runs 34, 33, etc., is fairly close to the actual value of the rate for run 34. Thus, with a small drift, this estimate will be accurate for run 35. However, for processes with a relatively large drift and/or infrequent model updates, the EWMA controller alone is often not sufficient. In particular, the process at run 35 has drifted further away from the predicted process state (the rate in run 34). As a result, the controller model is again offset from the true rate. This lag is characteristic of a controller trying to compensate a drifting process using past errors to estimate larger future errors. This lag results in a nonzero average deviation between the actual output and the goal. While the EWMA controller often provides excellent control of slowly drifting or wandering noise (ARMA) processes, a more sophisticated controller is required for larger drifts. An extension of the EWMA controller, the predictor-corrector controller (PCC), incorporates two EWMA filters to tune the process model [7]. In particular, PCC adds an EWMA estimate of the prediction error in the EWMA controller (also called the trend in the error). The prediction of the rate for the next run is then based on the estimate plus the estimate of the trend in the error, Trend This is summarized by the following equations: Trend Rate Rate (8) Rate Trend Rate Trend Trend (9) where Rate Trend is often taken to be the following: RateTrend Rate Rate (10) For comparison, the PCC is shown schematically in Fig. 5, where the EWMA estimated prediction error, or trend, is added to the EWMA estimated rate. This method allows the controller to remove the lag in the EWMA controller.

5 280 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 Fig. 7. Deposition rate versus final film thickness data at the target/collimator ages in Table I. B. Utilizing EWMA-Based Controllers to Accommodate More Complex Models For aluminum, (3) applies across a range of thickness goals. We can determine a starting value for Rate from historical data. However, (3) does not apply directly for the titanium deposition process. For titanium, the deposition rate is a function of the deposited film thickness. 1 Fig. 6 shows an example of the dependence of rate on thickness for the titanium process with a new sputter target and new collimator. The apparent increase in deposition rate with increasing film thickness is in fact an increase in deposition rate with increasing process time due to process start-up effects. We would like to describe the dependence in Fig. 6 by the following relationship: Rate Desired Thickness Rate Desired Thickness (11) where Rate Desired Thickness is a simple polynomial expression representing the solid line in Fig. 6. Equation (11) says that the dependence of rate on thickness is invariant with respect to overall decreases in the deposition rate. Thus, the deposition rate can be determined for any thickness goal at any time during the life of the target/collimator by simply estimating a single value, Equation (11) also implies that test data from only a single thickness, not multiple thicknesses, is needed at any process state to update If (11) is valid, we can save a significant number of test wafers. Equation (11) is valid only if the dependency of rate on thickness does not change as the target/collimator ages. To prove (11) for titanium sputter deposition, experiments were performed at different combinations of the ages of the sputter target and collimator. The test points are shown in Table I. The experimental results are shown in Fig. 7. Fig. 7 reveals that although the curves are offset from one another (due 1 Deposition rate is defined as the average film thickness divided by process time. Note that this rate is not equal to the instantaneous rate d(thickness)/dt, unless the instantaneous rate is constant over all thicknesses. TABLE I EXPERIMENTAL MATRIX FOR VALIDATION OF (11) FOR TITANIUM SPUTTER DEPOSITION to different target/collimator ages), the higher order terms (shapes of the curves) are relatively constant. Thus, we can utilize (11) for titanium together with the EWMA and PCC controllers to try to achieve reduced processing complexity and increased processing efficiency. Specifically, we can update the process model for all thickness goals using the most recent measurement, regardless of the exact film thickness. This greatly reduces the number of required test wafers. IV. EXPERIMENTAL RESULTS AND DISCUSSION The EWMA and PCC controllers were applied to the aluminum and titanium deposition processes in the Semiconductor Process and Device Center at Texas Instruments. The aluminum experiment covered the life of one target and two process kits, while the titanium experiment covered three different sputter targets and four collimator changes. The experimental results are highlighted below and compared to the corresponding existing control practices. A. TiN/Al-0.5% Cu/TiN Stack Results The EWMA and PCC controllers were applied to aluminum and titanium processes according to Fig. 8. In particular, for each lot the process time (recipe) for the desired film thickness is first downloaded from the controller to the tool. In all cases the power setting was fixed. The lot is then processed, and the monitor wafer is measured. The wafer-state responses (sheet resistances) are next uploaded to the controller. The updated process model is used to calculate the process time

6 SMITH et al.: ADVANCED PROCESS CONTROL OF METAL SPUTTER DEPOSITION 281 Fig. 8. Data flow in the RbR MBPC system for metal sputter deposition process. Fig. 10. Distribution of errors from desired thickness (%) for aluminum sputter deposition with the EWMA controller. Fig. 9. State estimation results for EWMA controller applied to aluminum metal sputter deposition. The solid line is the measured deposition rate. The dashed line is the smoothed rate estimate. for the subsequent lot. The measured rate and corresponding estimate of the process state for the aluminum experiment is given in Fig. 9. The EWMA controller accurately tracked the decrease in deposition rate over the life of the sputter target. The distribution of errors from the desired thickness for the EWMA controller is revealed in Fig. 10. With RbR MBPC, control of aluminum thickness was to within 3% of the goal, compared to approximately 5% without MBPC (Fig. 11). the process capability, was improved by 44% with the EWMA controller. The PCC controller was also applied to the aluminum sputter process. The distribution of errors for the PCC are plotted in Fig. 12. improved by 39% with PCC compared to before RbR MBPC. In this case, the addition of the EWMA controller prediction error removed the slight offset in the mean of the distribution. However, the process variance (spread of the distribution) slightly increased with PCC compared to the EWMA controller. The better overall performance of the EWMA controller was mainly due to timely process sampling, poor initial estimates of the EWMA weights for PCC, and the relatively noisy aluminum metal sputter process (making it difficult to estimate the trend in the error). The choice of weights for both RbR controllers is critical for achieving Fig. 11. Distribution of errors from desired thickness (%) for aluminum sputter deposition before RbR MBPC. optimal performance. In-depth discussions regarding optimal weight determination are given elsewhere [18]. In addition to the improved performance achieved by these RbR MBPC methods, the number of monitor wafers was reduced from one in each lot without RbR MBPC to one in every three lots with RbR MBPC. At the same time, lookahead test wafers were eliminated. B. Titanium Results Current practice in SPDC for titanium deposition is very different than for TiN/Al-0.5% Cu/TiN. As opposed to a

7 282 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 Fig. 14. Error from desired thickness (%) results for EWMA controller for titanium sputter deposition. Fig. 12. Distribution of errors from desired thickness (%) for aluminum sputter deposition with the PCC. Fig. 15. State estimation results for PCC applied to titanium sputter deposition. The solid line is the measured deposition rate. The dashed line is the smoothed rate estimate. Fig. 13. State estimation results for EWMA controller applied to titanium sputter deposition. The solid line is the measured deposition rate. The dashed line is the smoothed rate estimate. single thickness goal for aluminum, more than five different thicknesses of titanium are deposited on a regular basis. The current practice is to run lot-based monitor wafers for all lots. Monitor wafers for one thickness are used to estimate rates for other thicknesses within 100 Å. However, lots with a thickness goal which has not been run recently are preceded by a look-ahead test wafer. Due to the many monitor and look-ahead wafers, control of titanium sputter deposition before RbR MBPC was quite good. As a result, the goal for RbR MBPC for titanium was increased processing efficiency through the reduction of monitor and look-ahead wafers, while maintaining or improving process performance. As described earlier, processing was simplified with RbR MBPC by allowing data for any film thickness to be used to update the process model. This eliminated the need for look- ahead wafers prior to lot processing (previously approximately one every three lots). The number of monitor wafers during lot processing was reduced from one every lot to one every three lots. To challenge the RbR controllers, lot-based monitors were intentionally run at a variety of thicknesses in a cyclic manner (e.g., 100, 200, 300, 200, 100 Å, etc.) This insured that each film thickness in the range was used to control the process and was used at about the same frequency. The state estimation results for the EWMA controller applied to titanium sputter deposition are presented in Fig. 13. Because of the relatively small noise to drift ratio, the offset inherent in the EWMA controller explained earlier is, in this case, readily apparent. The effect is also apparent in the corresponding film thickness control results plotted in Fig. 14. Due to the lag, process performance actually decreased compared to before RbR MBPC. However, whereas for aluminum sputter deposition we did not see a significant impact, the benefits of PCC for titanium sputter deposition are clear (Fig. 15). The PCC effectively removed the offset in

8 SMITH et al.: ADVANCED PROCESS CONTROL OF METAL SPUTTER DEPOSITION 283 becomes imperative. Therefore, future work will focus on the implementation of a self-tuning EWMA controller such as that described in [18]. In addition, more complex processes may require the use of general approximation structures for representative process models for control. A second goal for the future will be the implementation of general internal model control (IMC) architectures such as that described in [7], [19]. Finally, the need for control methods which facilitate model updates at infrequent and nonconstant sampling periods, while still containing feed-forward estimates of the process state, will provide the potential for further reduction of test wafers. Fig. 16. Error from desired thickness (%) results for PCC for titanium sputter deposition. Fig. 13. Even with relatively infrequent updates to the process model, the PCC controller accurately tracked the change in deposition rate over the life of the collimators and sputter targets. The corresponding film thickness control results are given in Fig. 16. The PCC improved by 10% compared to before RbR MBPC, while eliminating look-ahead tests and reducing the number of monitor wafers by a factor of three. V. CONCLUSIONS AND FUTURE WORK RbR MBPC has been applied to metal sputter deposition processes for semiconductor manufacturing. RbR MBPC provides the ability to track and compensate for process drifts without a priori assumptions on their magnitude or consistency (from target to target or collimator to collimator). For processes with a relatively large amount of noise relative to the magnitude of the drift, namely aluminum sputter deposition, we have demonstrated that the EWMA controller is very effective in improving process capability and reducing test wafers. The EWMA controller, though, falls short in the presence of a relatively large drift to noise ratio (i.e., for titanium). In this case, PCC provides a more accurate rate estimator. We have outlined how both RbR controllers can be modified to allow more complex process models to be incorporated, especially to accommodate the dependency of deposition rate on metal film thickness. The application of RbR MBPC resulted here in significantly improved control of the aluminum sputter deposition process, by 44%, while reducing the number of monitor wafers by a factor of three. The application of RbR MBPC to the titanium sputter deposition process eliminated look-ahead tests and reduced the number of monitor wafers by a factor of three. At the same time, process capability for titanium sputter deposition improved by 10% with the application of RbR MBPC. The implementation of RbR MBPC methods requires a discriminate method for properly selecting optimal filtering weights. As increasingly more complex process control algorithms make their way into semiconductor manufacturing, the need for turn-key control solutions for process engineers REFERENCES [1] P. K. Mozumder and G. G. Barna, Statistical feedback control of a plasma etch process, IEEE Trans. Semiconduct. Manufact., vol. 7, pp. 1 11, Feb [2] P. K. Mozumder, S. Saxena, and D. J. Collins, A monitor wafer based controller for semiconductor processes, IEEE Trans. Semiconduct. Manufact., vol. 7, pp , Aug [3] J. Stefani, S. Poarch, S. Saxena, and P. K. Mozumder, Advanced process control of a CVD tungsten reactor, IEEE Trans. Semiconduct. Manufact., vol. 9, pp , Aug [4] E. Sachs, A. Hu, and A. Ingolfsson, Run by run process control: Combining SPC and feedback control, IEEE Trans. Semiconduct. Manufact., vol. 8, pp , Feb [5] S. Leang, S.-Y. Ma, J. Thompson, B. J. Bombay, and C. J. Spanos, A control system for photolithographic sequences, IEEE Trans. Semiconduct. Manufact., vol. 9, pp , May [6] G. Box and T. Kramer, Statistical process monitoring and feedback adjustment A discussion, Technometrics, vol. 34, no. 3, pp , [7] S. W. Butler and J. Stefani, Supervisory run-by-run control of polysilicon gate etch using in situ ellipsometry, IEEE Trans. Semiconduct. Manufact., vol. 7, pp , May [8] T. Smith, D. Boning, J. Moyne, A. Hurwitz, and J. Curry, Compensating for CMP pad wear using run by run feedback control, in Proc. VLSI Multilevep Interconnect Conf., 1996, pp [9] M. Le, T. Smith, D. Boning, and H. Sawin, Run to run model based process control on a dual coil transformer coupled plasma reactor, in Proc. 191st Meeting Electrochem. Soc., [10] D. Boning, W. Moyne, T. Smith, J. Moyne, and A. Hurwitz, Practical issues in run by run process control, in Proc. IEEE/SEMI Advanced Semiconductor Manufacturing Conf., 1995, pp [11] B. Rashap et al., Control of semiconductor manufacturing equipment: Real-time feedback control of a reactive ion etcher, IEEE Trans. Semiconduct. Manufact., vol. 8, pp , Aug [12] T. E. Benson, et al., Sensor systems for real-time feedback control (RTC) of reactive ion etching, J. Vac. Sci. Technol. B, vol. 14, no. 1, pp , [13] M. Chandhok, P. D. Hanish, and J. W. Grizzle, Phenomenological modeling for real-time feedback control of RTE, in Proc. Process Control, Diagnostics, & Modeling in Semiconductor Manufacturing Symp., The Electrochemical Society, vol. 95, no. 2, pp , [14] T. F. Edgar, T. Breedijk, S. G. Bushman, S. W. Butler, S. Chatterjee, A. J. Toprac, and I. Trachtenberg, Modeling and control of microelectronics materials processing, Comput. Chem. Eng., vol. 19, no. 1, [15] R. Telfeyan, J. Moyne, N. Chaudhry, J. Pugmire, S. Shellman, D. Boning, W. Moyne, A. Hurwitz, and J. Taylor, A multi-level approach to the control of a chemical-mechanical planarization process, J. Vac. Sci. Tech. A, vol. 14, no. 3, pp , [16] G. Barna, et al., Sensor integration into plasma etch reactors of a developmental pilot line, J. Vac. Sci. Tech. B, vol. 12, no. 4, pp , [17] S. Muthukrishnan and J. Stefani, SCFab model-based process control methodology: Development and deployment for manufacturing excellence, TI Tech. J., vol. 13, no. 5, pp. 9 15, [18] T. Smith and D. Boning, A self-tuning EWMA controller utilizing artificial neural network function approximation techniques, IEEE Trans. Comp., Packag., Manufact. Technol. C, vol. 20, pp , Apr [19] T. Smith and D. Boning, An artificial neural network EWMA run by run controller for semiconductor processes, J. Vac. Sci. Technol. A, vol. 15, no. 3, pp , 1997.

9 284 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 2, MAY 1998 Kappa Phi. Taber H. Smith received the B.S. degree (with highest honors) from the Rochester Institute of Technology, Rochester, NY, in He received the M.S. degree from the Massachusetts Institute of Technology in 1996, where he is currently pursuing the Ph.D. degree. His principle research interests include distributed computing, process modeling, as well as the application of statistical and intelligent control methods to the semiconductor industry. Mr. Smith is a member of Tau Beta Pi and Phi Duane S. Boning (M 91) received the S.B. degrees in electrical engineering and in computer science in 1984, and the S.M. and Ph.D. degrees in 1986 and 1991, respectively, all from the Massachusetts Institute of Technology (MIT), Cambridge. He was an NSF Fellow from 1984 to 1989, and an Intel Graduate Fellow in From 1991 to 1993, he was a Member of the Technical Staff at the Texas Instruments Semiconductor Process and Device Center, Dallas, TX, where he worked on process/device simulation tool integration, semiconductor process representation, and statistical modeling and optimization. He is currently an Associate Professor of Electrical Engineering and Computer Science at MIT. His research focuses on variation modeling and control in semiconductor processes, with special emphasis on chemical-mechanical polishing and plasma etch. Additional interests include tools and frameworks for process and device design, network technology for distributed design and fabrication, and computer integrated manufacturing. Dr. Boning is an Associate Editor for the IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, and is a member of Eta Kappa Nu, Tau Beta Pi, Sigma Xi, and the Association of Computing Machinery. Jerry Stefani (M 90) received the M.S. and Ph.D. degrees in metallurgy/materials science at Columbia University, New York, NY, in 1985 and 1988, respectively. He received the B.S. degree in mechanical/materials engineering from the University of California, Davis, in Since 1989, he has worked in the Semiconductor Process and Device Center, Texas Instruments, Dallas, TX. He is presently a Senior Member of the Technical Staff in the advanced process control group. He applies statistical techniques to semiconductor manufacturing equipment with the overall goal of improving product yield. These techniques include statistical design of experiments, statistical process control, and advanced model-based process control. He recently participated in the ARPA-sponsored Microelectronics Manufacturing Science and Technology (MMST) project at TI where he developed advanced process control tools for integrated circuit fabrication. He is presently working on the productization and deployment of TI s ProcessWORKS TM advanced process control system. Stephanie Watts Butler received the B.S. degree in chemical engineering from Oklahoma State University, Stillwater, and the Ph.D. degree in chemical engineering from the University of Texas at Austin. She is currently the manager of the Advanced Process Control Branch, Semiconductor Process and Device Center, Texas Instruments, Dallas, TX. Previously, she worked on the successful MMST program developing control strategies and robust endpointing algorithms. She also has process development experience in lithography, wet clean, etching, and silicon crystal growth at both Texas Instruments and IBM. She is a Research Affiliate at the Massachusetts Institute of Technology, Cambridge, and past Co-Chair of the SEMATECH Advanced Process & Equipment Control FTAB. She is an author of over 20 papers and presentations and several patents.

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool Insitu Sensing Using Mass Spectrometry and its Use for RunToRun Control on a WCVD Cluster Tool T. Gougousi', R. Sreenivasan2, Y. Xu1, L. HennLecordier', G. W. Rubloff', J. N. Kidder, Jr.', and E. Zafiriou2

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

PLASMA processing (etching, deposition, cleaning) is one

PLASMA processing (etching, deposition, cleaning) is one 486 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 3, AUGUST 1998 Virtual Plasma Equipment Model: A Tool for Investigating Feedback Control in Plasma Processing Equipment Shahid Rauf, Member,

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Chapter 3. Design for manufacture of Fabry-Perot cavity sensors

Chapter 3. Design for manufacture of Fabry-Perot cavity sensors Chapter 3 Design for manufacture of Fabry-Perot cavity sensors When Fabry-Perot cavity sensors are manufactured, the thickness of each layer must be tightly controlled to achieve the target performance

More information

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Modeling of Ion Energy Distribution Using Time-Series Neural Network 12th SEAS International Conference on SYSTEMS, Heralion, Greece, July 22-24, 2008 Modeling of Ion Energy Distribution Using Time-Series Neural Networ Suyeon Kim, Byungwhan Kim* Department of Electronic

More information

CONTROL charts are widely used in production processes

CONTROL charts are widely used in production processes 214 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 12, NO. 2, MAY 1999 Control Charts for Random and Fixed Components of Variation in the Case of Fixed Wafer Locations and Measurement Positions

More information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures Ramaswamy Sreenivasan Institute for Systems Research and Department of Chemical Engineering, University of Maryland,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303)

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

Closed-Loop Disturbance Identification and Controller Tuning for Discrete Manufacturing Processes. Overview

Closed-Loop Disturbance Identification and Controller Tuning for Discrete Manufacturing Processes. Overview Closed-Loop Disturbance Identification and Controller Tuning for Discrete Manufacturing Processes Enrique del Castillo Department of Industrial & Manufacturing Engineering The Pennsylvania State University

More information

PRODUCT yield plays a critical role in determining the

PRODUCT yield plays a critical role in determining the 140 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 1, FEBRUARY 2005 Monitoring Defects in IC Fabrication Using a Hotelling T 2 Control Chart Lee-Ing Tong, Chung-Ho Wang, and Chih-Li Huang

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

MEASUREMENTS that are telemetered to the control

MEASUREMENTS that are telemetered to the control 2006 IEEE TRANSACTIONS ON POWER SYSTEMS, VOL. 19, NO. 4, NOVEMBER 2004 Auto Tuning of Measurement Weights in WLS State Estimation Shan Zhong, Student Member, IEEE, and Ali Abur, Fellow, IEEE Abstract This

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Modeling of Process Plasma Using a Radial Basis Function Network: A Case Study

Modeling of Process Plasma Using a Radial Basis Function Network: A Case Study 68 ICASE: The Institute of Control, Automation and Systems Engineers, KOREA Vol., No. 4, December, 000 Modeling of Process Plasma Using a Radial Basis Function Network: A Case Study Byungwhan Kim and Sungjin

More information

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1065 Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes Hyunsoo Kim, Seong-Ju Park, and Hyunsang Hwang Abstract

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Evaluation of Pressure Sensor Performance Dr. Lynn Fuller Webpage:

Evaluation of Pressure Sensor Performance Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Evaluation of Pressure Sensor Performance Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

The Impact of Tolerance on Kill Ratio Estimation for Memory

The Impact of Tolerance on Kill Ratio Estimation for Memory 404 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002 The Impact of Tolerance on Kill Ratio Estimation for Memory Oliver D. Patterson, Member, IEEE Mark H. Hansen Abstract

More information

Reinforcement Learning, Neural Networks and PI Control Applied to a Heating Coil

Reinforcement Learning, Neural Networks and PI Control Applied to a Heating Coil Reinforcement Learning, Neural Networks and PI Control Applied to a Heating Coil Charles W. Anderson 1, Douglas C. Hittle 2, Alon D. Katz 2, and R. Matt Kretchmar 1 1 Department of Computer Science Colorado

More information

One-Hour-Ahead Load Forecasting Using Neural Network

One-Hour-Ahead Load Forecasting Using Neural Network IEEE TRANSACTIONS ON POWER SYSTEMS, VOL. 17, NO. 1, FEBRUARY 2002 113 One-Hour-Ahead Load Forecasting Using Neural Network Tomonobu Senjyu, Member, IEEE, Hitoshi Takara, Katsumi Uezato, and Toshihisa Funabashi,

More information

Design and Performance Analysis of the Exponentially Weighted Moving Average Mean Estimate for Processes Subject to Random Step Changes

Design and Performance Analysis of the Exponentially Weighted Moving Average Mean Estimate for Processes Subject to Random Step Changes Design and Performance Analysis of the Exponentially Weighted Moving Average Mean Estimate for Processes Subject to Random Step Changes Argon Chen Graduate Institute of Industrial Engineering National

More information

Control Systems. State Estimation.

Control Systems. State Estimation. State Estimation chibum@seoultech.ac.kr Outline Dominant pole design Symmetric root locus State estimation We are able to place the CLPs arbitrarily by feeding back all the states: u = Kx. But these may

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

Particle Filters for Remaining Useful Life Estimation of Abatement Equipment used in Semiconductor Manufacturing

Particle Filters for Remaining Useful Life Estimation of Abatement Equipment used in Semiconductor Manufacturing 21 Conference on Control and Fault Tolerant Systems Nice, France, October 6-8, 21 ThA3.4 Particle Filters for Remaining Useful Life Estimation of Abatement Equipment used in Semiconductor Manufacturing

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

IN THE literature, significant research has been focused on

IN THE literature, significant research has been focused on 552 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance Brian E. Stine, Duane S. Boning,

More information

SYSTEMATIC APPLICATIONS OF MULTIVARIATE ANALYSIS TO MONITORING OF EQUIPMENT HEALTH IN SEMICONDUCTOR MANUFACTURING. D.S.H. Wong S.S.

SYSTEMATIC APPLICATIONS OF MULTIVARIATE ANALYSIS TO MONITORING OF EQUIPMENT HEALTH IN SEMICONDUCTOR MANUFACTURING. D.S.H. Wong S.S. Proceedings of the 8 Winter Simulation Conference S. J. Mason, R. R. Hill, L. Mönch, O. Rose, T. Jefferson, J. W. Fowler eds. SYSTEMATIC APPLICATIONS OF MULTIVARIATE ANALYSIS TO MONITORING OF EQUIPMENT

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Designing Response Surface Model-Based Run-by-Run Controllers: A Worst Case Approach

Designing Response Surface Model-Based Run-by-Run Controllers: A Worst Case Approach 98 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY-PART C, VOL. 19, NO. 2, APRIL 1996 Designing Response Surface Model-Based Run-by-Run Controllers: A Worst Case Approach John

More information

I in semiconductor manufacturing. As a result, considerable

I in semiconductor manufacturing. As a result, considerable IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 9, NO. 3, AUGUST 1996 335 Development of a TiW Plasma Etch Process Using a Mixture Experiment and Response Surface Optimization David A. Shumate and

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Real-time Virtual Metrology and Control of Plasma Electron Density in an Industrial Plasma Etch Chamber

Real-time Virtual Metrology and Control of Plasma Electron Density in an Industrial Plasma Etch Chamber Real-time Virtual Metrology and Control of Plasma Electron Density in an Industrial Plasma Etch Chamber Shane A. Lynn Niall Macgearailt John. V. Ringwood Dynamics and Control Group, Electronic Engineering

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

Model Predictive Control Design for Nonlinear Process Control Reactor Case Study: CSTR (Continuous Stirred Tank Reactor)

Model Predictive Control Design for Nonlinear Process Control Reactor Case Study: CSTR (Continuous Stirred Tank Reactor) IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 7, Issue 1 (Jul. - Aug. 2013), PP 88-94 Model Predictive Control Design for Nonlinear Process

More information

Plasma Diagnostics Introduction to Langmuir Probes

Plasma Diagnostics Introduction to Langmuir Probes Plasma Diagnostics Technical Information Sheet 531 Plasma Diagnostics Introduction to Langmuir Probes Introduction A Langmuir Probe is a powerful plasma diagnostic tool which capable of determining the

More information

Issue 88 October 2016

Issue 88 October 2016 Evaporation By Christopher Henderson This short section will discuss a historical technique for metal deposition known as evaporation. We present this topic mainly for completeness, although some experimental

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing

A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing International Journal of Computational Intelligence Systems, Vol. 7, Supplement 2 (July 2014), 66-73 A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Evaluating the Impact of Process Changes on Cluster Tool Performance

Evaluating the Impact of Process Changes on Cluster Tool Performance IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 13, NO. 2, MAY 2000 181 Evaluating the Impact of Process Changes on Cluster Tool Performance Jeffrey W. Herrmann, Niranjan Chandrasekaran, Brian F.

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

CHBE320 LECTURE XI CONTROLLER DESIGN AND PID CONTOLLER TUNING. Professor Dae Ryook Yang

CHBE320 LECTURE XI CONTROLLER DESIGN AND PID CONTOLLER TUNING. Professor Dae Ryook Yang CHBE320 LECTURE XI CONTROLLER DESIGN AND PID CONTOLLER TUNING Professor Dae Ryook Yang Spring 2018 Dept. of Chemical and Biological Engineering 11-1 Road Map of the Lecture XI Controller Design and PID

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

CompensatorTuning for Didturbance Rejection Associated with Delayed Double Integrating Processes, Part II: Feedback Lag-lead First-order Compensator

CompensatorTuning for Didturbance Rejection Associated with Delayed Double Integrating Processes, Part II: Feedback Lag-lead First-order Compensator CompensatorTuning for Didturbance Rejection Associated with Delayed Double Integrating Processes, Part II: Feedback Lag-lead First-order Compensator Galal Ali Hassaan Department of Mechanical Design &

More information

Sensitivity Analysis of Coupled Resonator Filters

Sensitivity Analysis of Coupled Resonator Filters IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 10, OCTOBER 2000 1017 Sensitivity Analysis of Coupled Resonator Filters Smain Amari, Member, IEEE Abstract

More information

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers Claudio Truzzi, PhD Chief Technology Officer Alchimer Overview Introduction Electrografting (eg) Technology Description

More information

798 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 44, NO. 10, OCTOBER 1997

798 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 44, NO. 10, OCTOBER 1997 798 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL 44, NO 10, OCTOBER 1997 Stochastic Analysis of the Modulator Differential Pulse Code Modulator Rajesh Sharma,

More information

SWITCHED reluctance motor (SRM) drives have been

SWITCHED reluctance motor (SRM) drives have been IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 45, NO. 5, OCTOBER 1998 815 A Novel Power Converter with Voltage-Boosting Capacitors for a Four-Phase SRM Drive Yasser G. Dessouky, Barry W. Williams,

More information

CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE

CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE CHARACTERIZING PROCESS SEMICONDUCTOR THIN FILMS WITH A CONFOCAL MICRO X-RAY FLUORESCENCE MICROSCOPE 218 Chris M. Sparks 1, Elizabeth P. Hastings 2, George J. Havrilla 2, and Michael Beckstead 2 1. ATDF,

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Estimation of etch rate and uniformity with plasma impedance monitoring. Daniel Tsunami

Estimation of etch rate and uniformity with plasma impedance monitoring. Daniel Tsunami Estimation of etch rate and uniformity with plasma impedance monitoring Daniel Tsunami IC Design and Test Laboratory Electrical & Computer Engineering Portland State University dtsunami@lisl.com 1 Introduction

More information

Worst-Case Analysis of the Perceptron and Exponentiated Update Algorithms

Worst-Case Analysis of the Perceptron and Exponentiated Update Algorithms Worst-Case Analysis of the Perceptron and Exponentiated Update Algorithms Tom Bylander Division of Computer Science The University of Texas at San Antonio San Antonio, Texas 7849 bylander@cs.utsa.edu April

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR ph CONTROL

DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR ph CONTROL DESIGN OF AN ON-LINE TITRATOR FOR NONLINEAR CONTROL Alex D. Kalafatis Liuping Wang William R. Cluett AspenTech, Toronto, Canada School of Electrical & Computer Engineering, RMIT University, Melbourne,

More information

Design and Stability Analysis of Single-Input Fuzzy Logic Controller

Design and Stability Analysis of Single-Input Fuzzy Logic Controller IEEE TRANSACTIONS ON SYSTEMS, MAN, AND CYBERNETICS PART B: CYBERNETICS, VOL. 30, NO. 2, APRIL 2000 303 Design and Stability Analysis of Single-Input Fuzzy Logic Controller Byung-Jae Choi, Seong-Woo Kwak,

More information

THE frequency sensitive competitive learning (FSCL) is

THE frequency sensitive competitive learning (FSCL) is 1026 IEEE TRANSACTIONS ON NEURAL NETWORKS, VOL. 8, NO. 5, SEPTEMBER 1997 Diffusion Approximation of Frequency Sensitive Competitive Learning Aristides S. Galanopoulos, Member, IEEE, Rolph L. Moses, Senior

More information

APPLICATION OF Q CHARTS FOR SHORT-RUN AUTOCORRELATED DATA

APPLICATION OF Q CHARTS FOR SHORT-RUN AUTOCORRELATED DATA International Journal of Innovative Computing, Information and Control ICIC International c 2013 ISSN 1349-4198 Volume 9, Number 9, September 2013 pp. 3667 3676 APPLICATION OF Q CHARTS FOR SHORT-RUN AUTOCORRELATED

More information

Novel Approach of Semiconductor BEOL Processes Integration

Novel Approach of Semiconductor BEOL Processes Integration Novel Approach of Semiconductor BEOL Processes Integration Chun-Jen Weng cjweng825@yahoo.com.tw Proceedings of the XIth International Congress and Exposition June 2-5, 2008 Orlando, Florida USA 2008 Society

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

An Adaptive LQG Combined With the MRAS Based LFFC for Motion Control Systems

An Adaptive LQG Combined With the MRAS Based LFFC for Motion Control Systems Journal of Automation Control Engineering Vol 3 No 2 April 2015 An Adaptive LQG Combined With the MRAS Based LFFC for Motion Control Systems Nguyen Duy Cuong Nguyen Van Lanh Gia Thi Dinh Electronics Faculty

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Independent Component Analysis for Redundant Sensor Validation

Independent Component Analysis for Redundant Sensor Validation Independent Component Analysis for Redundant Sensor Validation Jun Ding, J. Wesley Hines, Brandon Rasmussen The University of Tennessee Nuclear Engineering Department Knoxville, TN 37996-2300 E-mail: hines2@utk.edu

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

A Log-Frequency Approach to the Identification of the Wiener-Hammerstein Model

A Log-Frequency Approach to the Identification of the Wiener-Hammerstein Model A Log-Frequency Approach to the Identification of the Wiener-Hammerstein Model The MIT Faculty has made this article openly available Please share how this access benefits you Your story matters Citation

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Lyapunov Stability of Linear Predictor Feedback for Distributed Input Delays

Lyapunov Stability of Linear Predictor Feedback for Distributed Input Delays IEEE TRANSACTIONS ON AUTOMATIC CONTROL VOL. 56 NO. 3 MARCH 2011 655 Lyapunov Stability of Linear Predictor Feedback for Distributed Input Delays Nikolaos Bekiaris-Liberis Miroslav Krstic In this case system

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

Nonlinear Adaptive Robust Control. Theory and Applications to the Integrated Design of Intelligent and Precision Mechatronic Systems.

Nonlinear Adaptive Robust Control. Theory and Applications to the Integrated Design of Intelligent and Precision Mechatronic Systems. A Short Course on Nonlinear Adaptive Robust Control Theory and Applications to the Integrated Design of Intelligent and Precision Mechatronic Systems Bin Yao Intelligent and Precision Control Laboratory

More information

Fault Detection and Diagnosis of an Electrohydrostatic Actuator Using a Novel Interacting Multiple Model Approach

Fault Detection and Diagnosis of an Electrohydrostatic Actuator Using a Novel Interacting Multiple Model Approach 2011 American Control Conference on O'Farrell Street, San Francisco, CA, USA June 29 - July 01, 2011 Fault Detection and Diagnosis of an Electrohydrostatic Actuator Using a Novel Interacting Multiple Model

More information

Nonlinear ph Control Using a Three Parameter Model

Nonlinear ph Control Using a Three Parameter Model 130 ICASE: The Institute of Control, Automation and Systems Engineers, KOREA Vol. 2, No. 2, June, 2000 Nonlinear ph Control Using a Three Parameter Model Jietae Lee and Ho-Cheol Park Abstract: A two parameter

More information

SILICON-ON-INSULATOR (SOI) technology has been regarded

SILICON-ON-INSULATOR (SOI) technology has been regarded IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 53, NO. 10, OCTOBER 2006 2559 Analysis of the Gate Source/Drain Capacitance Behavior of a Narrow-Channel FD SOI NMOS Device Considering the 3-D Fringing Capacitances

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract

Keywords. 1=magnetron sputtering, 2= rotatable cathodes, 3=substrate temperature, 4=anode. Abstract Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets. F. Papa*, V. Bellido-Gonzalez**, Alex Azzopardi**, Dr. Dermot Monaghan**, *Gencoa Technical & Business Support in US, Davis,

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

4.0 Update Algorithms For Linear Closed-Loop Systems

4.0 Update Algorithms For Linear Closed-Loop Systems 4. Update Algorithms For Linear Closed-Loop Systems A controller design methodology has been developed that combines an adaptive finite impulse response (FIR) filter with feedback. FIR filters are used

More information

MACHINE DEDICATION UNDER PRODUCT AND PROCESS DIVERSITY. Darius Rohan. IBM Microelectonics Division East Fishkill, NY 12533, U.S.A.

MACHINE DEDICATION UNDER PRODUCT AND PROCESS DIVERSITY. Darius Rohan. IBM Microelectonics Division East Fishkill, NY 12533, U.S.A. Proceedings of the 1999 Winter Simulation Conference P. A. Farrington, H. B. Nembhard, D. T. Sturrock, and G. W. Evans, eds. MACHINE DEDICATION UNDER PRODUCT AND PROCESS DIVERSITY Darius Rohan IBM Microelectonics

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information