2008 IMPACT Workshop. Faculty Presentation: CMP. By David Dornfeld, Mechanical Engineering, UC-Berkeley IMPACT CMP 1

Size: px
Start display at page:

Download "2008 IMPACT Workshop. Faculty Presentation: CMP. By David Dornfeld, Mechanical Engineering, UC-Berkeley IMPACT CMP 1"

Transcription

1 2008 IMPACT Workshop Faculty Presentation: CMP By David Dornfeld, Mechanical Engineering, UC-Berkeley IMPACT CMP 1

2 CMP: Modeling and Fundamental Studies Current Milestones CMP 1. Continue development of comprehensive model of CMP Model building on the abrasive scale, pattern scale capability to integrate additional chemical process elements and coupling elements (including pad/wafer contact elements) to link key influences of chemical and mechanical activity, slurry agglomeration and heating. CMP 2. FEM Analysis of pad-induced effects during planarization- basic Finite element model to predict stress induced by pad on wafer surface including influences of material (geometry and material properties), pad (surface topography and material properties) and process conditions (load, motion) with analysis of pad mechanical behavior, pad/surface interaction and induced stresses in thin films. CMP 3. Assess mechanical properties and behavior of passive films on copper and test patterns Nanomechanical techniques used to measure pertinent properties of the films on copper alone, and test patterns to understand the coupling between the electrochemistry, colloid chemistry and mechanical effects. CMP 4. Develop understanding of agglomeration/dispersion effects on CMP Basic understanding of agglomeration/dispersion effects on CMP including rate of agglomeration as a function of chemistry and the wafer surface hardness as a function of chemistry CMP 5. Mechanics of Nanoscale Lapping and Polishing Mechanics models for material removal at the nanoscale with validation using microprobe-based experiments and stochastic modeling of nanoscale polishing/lapping. IMPACT CMP 2

3 CMP - Faculty Team Kyriakos Komvopoulos Mechanical Engineering UCB Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona M. Doyle Materials Science and Engineering UCB Chemical Phenomena Interfacial and Colloid Phenomena Jan B. Talbot Chemical Engineering UCSD IMPACT CMP 3

4 CMP - Student Team Huaming Xu ME-UCB Seungchoun Choi ME UCB Mechanical Phenomena Adrien Monvoison ME-UCB Moneer Helu ME-UCB (NSF) Shantanu Tripathi ME/MSE UCB Chemical Phenomena Interfacial and Colloid Phenomena IMPACT CMP 4 Robin Ihnfeldt Chem Eng UCSD

5 Research Results Seungchoun Choi & Shantanu Tripathi: Use of Confocal Microscopy to Characterize Pad Asperity-Wafer Contacts and Abrasive- Wafer Contacts During CMP Shantanu Tripathi: CMP Modeling as a part of Design for Manufacturing Adrien Monvoisin: Stress Analysis in Low-K dielectric Materials during the CMP Process Robin Ihnfeldt: Effects of slurry chemistry on Cu CMP process Huaming Xu: Mechanics of Nanoscale Lapping and Polishing Details on the posters! IMPACT CMP 5

6 Seungchoun Choi Motivation Use of Confocal Microscopy to Characterize Pad Asperity-Wafer Contacts and Abrasive-Wafer Contacts During CMP Integrated tribo-chemical model of copper CMP considers abrasive and pad properties, process parameters (speed, pressure etc.), and slurry chemistry to predict material removal rates. Information on the abrasive-copper and/or asperity copper interaction force and frequency is needed to complete the integrated tribo-chemical modeling of copper CMP. Confocal reflectance interference contrast microscopy (C-RICM) has been used to study pad-wafer contacts and shows promise for detection of smaller objects such as agglomerated abrasive particles. Information about the pad-asperity contact area and distribution has important applications in modeling the pattern-dependence of CMP, and in DfM. IMPACT CMP 6

7 Seungchoun Choi 2008 Main Objective Complete comprehensive model of CMP for homogeneous substrate, and start adapting to account for pattern dependence Complete the model that links mechanical and electrochemical characteristics using abrasive-wafer and asperity wafer interactions as the physical link. Investigate whether the surface potential of the pad influences material removal rates Draw on abrasive scale and pattern scale capabilities to extend model to DfM applications. IMPACT CMP 7

8 Seungchoun Choi Slurry chemistry (ph, conc. of oxidizer, inhibitor & complexing agent) Pad properties layers hardness, surface potential, structure Abrasive Type, size & conc. Polishing conditions (pressure P, velocity V) Material being polished Incoming topography Integrated tribo-chemical model 1. Passivation Kinetics 2. Mechanical Properties of Passive Film 3. Abrasive-copper Interaction Frequency & Force The Problem Removal Rate (RR) Planarization, Uniformity, Defects Lack of understanding of abrasive-pad interaction on pad asperities: - Inability to predict and control material removal rate and defects - Limits application to Design for Manufacturing (DfM) and Manufacturing for Design (MfD) IMPACT CMP 8

9 Mechanical Interaction: Frequency & Force* Can be found if we can measure/calculate: - Number, size & distribution of wafer-asperity contact - Number & distribution of abrasives per asperity-wafer contact. wafer Stress (MPa) RR = abrasive particles pad asperity Time (µs) M Cu i( t 0 + t ) dt nf τ IMPACT CMP 9 τ Interval between two abrasive-copper contacts (τ) ρ 0 * Tripathi et al, 2006 Proceedings of VLSI Multilevel Interconnection Conf. Stress (MPa) Muldowney, MRS Symp. Proc. Vol. 816 We can lump multiple abrasive contacts within an asperity. M Cu : Atomic mass of copper ρ : density of copper n : # e - transferred F : Faraday s constant i : oxidation rate Time (ms) t 0 : time immediately after an abrasive-copper interaction t : time since an abrasivecopper interaction, before the next interaction

10 Seungchoun Choi Wafer-Asperity Contact* Pad asperity-wafer contacts were studied by using C-RICM C-RICM image of asperity cluster contact on VP3000 TM pad * C. L. Elmufdi and G. P. Muldowney, MRS Symp. Vol. 914, 2006 IMPACT CMP 10 C-RICM image sequence of VP3000TM pad at increasing applied pressure

11 Schematic depiction of reflecting interfaces in pad asperity-wafer contact area C-RICM utilizes the interference of light at material boundaries to identify contact points between surfaces Rslurry-coverslip Rcoverslip-abrasive Rcoverslip-pad Rpad-abrasive Rslurry-abrasive Rslurry-pad Cover slip abrasive particles pad asperity slurry IMPACT CMP 11

12 Anticipated Experimental Procedure Slurry Abrasives - 40 wt% α-alumina slurry - 150nm average aggregate diameter - 20nm primary particle diameter Copper CMP slurry - Filtered DI water with 1 mm KNO 3, 0.1 M glycine and 0.1 wt % H 2 O 2 + small amount of α-alumina particles ph of slurry will be adjusted using KOH or HNO 3 Copper nano-particles mm to simulate removal of copper surface during CMP - <100 nm in diameter Confocal Microscopy (reflection mode) - Four samples will be prepared for slurry ph of 4, 9 and 10 without Cu particles and ph 7.5 with Cu particles - SMART pads developed under earlier award will be useful for calibrating the images, and providing brightness information for each interface. IMPACT CMP 12

13 Challenges with Confocal Microscopy Resolution: - Determined by rlateral = 0.4 λ / NA where λ is the wavelength of light and NA is the numerical aperture of the objective - In practice, the best horizontal resolution is about 200 nm - Particles smaller than 200 nm cannot be resolved if they are closer than 200 nm each other. Airy Disk: - Due to Fraunhofer diffraction of light passing through a circular aperture - Distinguishing the Airy pattern from real contact points may need substantial image processing technique. Use fluorescent quantum dots, or abrasives to which a fluorescent marker is selectively adsorbed as tracers to assist the optical imaging, if needed IMPACT CMP 13

14 Seungchoun Choi Future Goals Confocal imaging for various slurry chemistries Complete integrated tribo-chemical model of copper CMP Using the results of this research, determine whether material removal is due to abrasive particles being forced against the wafer by asperities, to convective transport of abrasive particles suspended in the slurry to the wafer surface where they interact, or to a combination of these mechanisms. IMPACT CMP 14

15 Shantanu Tripathi Motivation CMP Modeling as a part of Design for Manufacturing CMP causes non uniform removal on patterned device wafers: defects like dishing & erosion. CMP challenges (from ITRS) Reliably predicting and controlling post-cmp topography dishing & erosion < 10% interconnect height Integration of ultra low-k dielectric materials predicting stresses and damage Designing new planarization processes for new materials and new requirements. Boning et al. MIT IMPACT CMP 15

16 Shantanu Tripathi 2008 Main Objective Continue development of comprehensive model of CMP: Continue development of model building on the abrasive scale, pattern scale capability to integrate additional chemical process elements and include coupling elements for linking key influences of chemical and mechanical activity and slurry agglomeration and heating. Consider pad/wafer contact elements. CMP model validation and design for manufacturing validation: Validate model capability with full scale model verification by simulation and test (with industrial partners). Development of strategies for model-based process optimization. Consider use of model for DfM relative to process variation. IMPACT CMP 16

17 Shantanu Tripathi Challenges Present methods treat CMP process as a black box; are blind to process & consumable parameters Need detailed process understanding For modeling pattern evolution accurately Present methods do not predict small feature CMP well For process design (not based on just trail and error) Multiscale analysis needed to capture different phenomena: At sufficient resolution & speed CMP process less rigid than other processes: possibility of optimizing consumable & process parameters based on chip design MfD & DfM Source of pattern dependence is twofold: Asperity contact area (not addressed yet) Pad hard layer flexion due to soft layer compression (addressed by previous models) IMPACT CMP 17

18 Shantanu Tripathi Present Approach adopted by CAD companies Extensive tests/ measurements required CMP Test-Pattern Wafer Measurements & Parameter Extraction Present Modeling Approach Present methods: Treat CMP process as a black box Lack of process understanding Use trial & error for process design, no process optimization Specific to particular processing conditions Model Calibration Product Design Layout Model Inputs CMP Evolution Model Simulation Full-Chip Prediction Model drawbacks: Do not predict small features correctly Captures only 1 source of pattern dependency Coarse (resolution ~10µm) Design Optimization Helps in dummy fill - Partial product design improvement but no process optimization Optimization should be across process & product: - Need to be able to tune all the available control knobs IMPACT CMP 18

19 Proposed Pattern Evolution Framework Consumables Polishing Conditions before 40sec CMP Material Removal Model τ M Cu RR = i( t0 + t) dt nfτ ρ 0 K MRR( x, y) = ρ( x, y) 0.112μm/0.1681μm STI oxide evolution* Small feature prediction problems Space Discretization: Data Structure Time step evolution Asperity contact area (µm) R Empirically fit, based on pad flexion (scale=mm) *Choi, Tripathi, Dornfeld & Hansen, Chip Scale Prediction of Nitride Erosion in High Selectivity STI CMP, Invited Paper, Proceedings of 11 th CMP-MIC, 2006 IMPACT CMP 19

20 Summary of Current Progress Integrated chemo-mechanical modeling of material removal Data structure for capturing multiscale behavior: tree based multi-resolution meshes Pad/Wafer (~m) Die (~cm) Asperity (~µm) Feature (45nm-10µm) Abrasive contact (10nm) Pattern Evolution Model for HDPCVD STI Chip Layout Pattern density Evolution IMPACT CMP 20

21 Multiscale Optimization Example Address WIDNU (Within die non-uniformity) at different levels depending on available flexibility Change pad hardness (tree level 1) Inflexibility: scratch defects, pad supplier Change incoming topography (feature level) Inflexibility: deposition process limitation Dummy fill (chip, array level) Inflexibility: design restrictions Within die non-uniformity Nitride Thinning in STI Change chemical reactions, abrasive concentration (abrasive level) Inflexibility: removal rate requirements Slurry Computational Performance Prediction: New approach based on adaptive meshes Storage Space Speed MIT/Cadence Approach ~10MB µm resolution) ~60s resolution) New Approach * ~0.01MB(@20µm) 100MB(@200nm) ~0.6s (@20µm resolution) *Based on: Udeshi T, Parker E; J. COMPUTING & INFORMATION SCIENCE, MAR 2004 IMPACT CMP 21

22 Shantanu Tripathi Future Goals Continued development of CMP process models Progress of data structure implementation Verification of the proposed DfM approach IMPACT CMP 22

23 Adrien Monvoisin 2008 Main Objective Stress Analysis in Low-K dielectric Materials during the CMP Process Introduction of Low-k dielectric materials (LKD, k<3.5) and Copper to reduce RC interconnects delay: LKD have poor mechanical properties hence delamination and cracks may occur during the CMP process: need to understand these phenomena Create a model on ABAQUS using the Finite Elements Method (FEM model) Set up the Boundary Conditions and the Loads to reproduce the CMP conditions. The model has various parameters such as the Young Modulus of the Low-K dielectric material used, the thickness of the copper layer removed, the pressure applied on the wafer, Analyze the stresses induced by the CMP process From the FEM results, analysis of the Von Mises stresses which can lead to the propagation of cracks in the sub layers polished. Understand the creation and propagation of cracks in low-k interfaces IMPACT CMP 23

24 Adrien Monvoisin Abaqus Model Boundary Conditions: No displacement / rotation at the bottom Periodic Boundary conditions on the sides Loads: Downward constant pressure: 2 psi Horizontal Frictional Force: 0.7 psi Materials: Copper Tantalum Low-K 5-20Gpa IMPACT CMP 24

25 Adrien Monvoisin Abaqus Results Cu thickness = 250nm Cu thickness = 50nm Low-k material Stresses Stresses 5 GPa 190 kpa 177 kpa 20 GPa 67 kpa 62 kpa E=20 Gpa E=5 Gpa E=20 Gpa E=5 Gpa Highest stresses located at the edge. Cracks may first appear at these locations. Higher stresses for low-k with a lower E (poor mechanical Properties) Stresses are higher with Cu layer is thicker (when CMP starts) IMPACT CMP 25

26 Adrien Monvoisin Tradeoff solution Low-k, E=20Gpa Low-k, E=5Gpa Tradeoff solution with 2 layers of low-k stacked: one with a higher E to resist to the stress and one with a lower E underneath, less affected by the stresses induced by CMP to reduce the RC interconnect delay because of its porosity. IMPACT CMP 26

27 Adrien Monvoisin Fatigue Phenomenon Stress on the 2 nd layer more important than those on the 1 st layer: need to consider the fatigue phenomenon The delamination increases with number of layers because of the effect of the stack residual stress and elasticity. Dependence of CMP-induced delamination on number of low-k dielectric films stacked Patrick Leduc IMPACT CMP 27 Masako Kodera

28 Adrien Monvoisin Future Goals Analyze the influence of the damascene process: Coefficient of Thermal Expansion influences the resistance of low-k dielectric materials regarding fractures. Determine what is the theoretical fracture energy for the low-k dielectric that I used and evaluate the driving force caused by CMP. Expand this model to any low-k and investigate the crack propagation (crack path) Confirm these results with SEM experimental tests. Investigate the influence of the fatigue phenomenon. IMPACT CMP 28

29 Robin Ihnfeldt 2008 Main Objectives Effects of slurry chemistry on Cu CMP process Colloidal behavior measured by zeta potential and agglomerate size distribution - effects of chemical additives and presence of copper Studied effects of slurry chemistry on copper surface hardness and etch rate Used agglomerate size distribution, nanohardness and etch rates in model of CMP IMPACT CMP 29

30 Measuring Nanohardness and Etch Rates Hardness Measurements - TriboScope Nanomechanical Testing system, Hysitron Inc nm Cu sputter deposited on 30 nm Ta on 1 cm 2 silicon wafer pieces 10 min exposure in 100 ml of solution (without abrasives), removed, dried with air and measured Maximum applied load varied from μn Etch Rates - wafer pieces weighed before and after immersion in solution IMPACT CMP 30

31 Nanohardness Before Chemical Exposure Hw (GPa) Indentation Depth (nm) Hardness technique Material Value Nanohardness (GPa) Cu ± 0.3 Ta ± 1.5 Si 3 12 ± 2 Cu 2 O* 17 ± 5 *as measured in this study Average Hw=2.6 GPa 1 D. Beegan, S. Chowdhury, and M. T. Laugier, Surface and Coatings Technology, 210, 5804 (2007). 2 A. Jindal and S.V. Babu, J. Electrochemical Soc., 151 (10), G709-G716 (2004). 3 M. Ueda, C. M. Lepienski, E.C. Rangel, N. C. Cruz, and F. G. Dias, Surface and Coatings Technology, 156, 190 (2002). 4 A. Szymanski and J. M. Szymanski, Hardness Estimation of Minerals Rocks and Ceramic Materials, Elsevier Science Publishers B. V., New York, NY (1989). Nanohardness versus indentation depth Nanohardness near surface (<20nm) is >Cu metal indicating copper oxide At >30nm, hardness of Cu metal Hardness technique Material Value Moh's hardness 4 Cu(OH) Cu metal 3 CuO 3.5 Cu 2 O 4 Ta 6.5 Si 6.5 IMPACT CMP 31

32 Robin Ihnfeldt Effect of ph on Hardness Hw (GPa) Indentation Depth (nm) ph 2.9 ph 8.3 ph 11.7 *M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, National Association of Corrosion Engineers, Houston, Texas (1974). Near surface (<40nm) hardness increases as the ph increases Consistent with potential-ph equilibrium diagrams which indicate that copper oxides are more stable at higher ph* Nanohardness is that of Cu metal for >70nm IMPACT CMP 32

33 Robin Ihnfeldt Effect of Additives on Hardness Nanohardness versus indentation depth after exposure to aqueous solutions with 0.1M glycine and 2wt% H 2 O 2 at various ph Possible Surface Reactions: passivation 0.1M Glycine, 2.0wt% H2O2 ph 8.3 2Cu + H 2 O -> Cu 2 O + 2H + + 2e 0.1M Glycine, 2.0wt% H2O2 ph 10.0 Cu 2 O + H 2 O -> 2CuO + 2H + + 2e Hw (GPa) Indentation Depth (nm) complex formation Cu 2 O+4HL ->2CuL 2 (s)+h 2 O + 2H + + 2e CuO + 2HL -> CuL 2 (s) + H 2 O dissolution CuL 2 (s) -> CuL 2 (l) decomposition H 2 O 2 + e - > OH* + OH - At ph 8.3 the film is very soft (possibly porous) and etch rate is large (56 nm/min) H 2 O 2 decomposition occurs faster at higher ph.* At ph 10.0, large etch rate, 33 nm/min, indicating possibly a thick passivation layer forms which inhibits Cu-glycine complex formation. * G. Xu, H. Liang, J. Zhao, and Y. Li, J. Electrochemical Soc., 151, (10) G688 (2004). IMPACT CMP 33

34 Robin Ihnfeldt Conclusions Surface hardness is very sensitive to the chemistry of the solution! Small changes in chemistry (ph, additive concentration, etc.) can cause large changes in the hardness ( GPa) Future Work Study effects of exposure time of solution on hardness (film formation due to fast reaction or slow reaction) Surface hardness measurements performed in this study may not be representative of surface hardness that occurs during a CMP process Different measurement technique? Study effects of temperature IMPACT CMP 34

35 Huaming Xu Motivation Mechanics of Nanoscale Lapping and Polishing Increasing demands for extremely high-density recording have led to very tight tolerance requirements for the head-disk interface. This has necessitated ultra-smooth (rms < 0.2 nm) recording head surfaces. Optimization of the lapping/polishing process to achieve extremely high-density recording with direct implications to other technologies relying on surface smoothness and flatness. Development of stochastic mechanics models for material removal rate at the nanoscale. IMPACT CMP 35

36 Huaming Xu 2008 Main Objective Analyze the mechanisms of the plate charging process and develop mechanics models. Analyze the mechanisms of the lapping process and develop analytical models for material removal rate and resulting surface roughness. Bridge the gap of knowledge in nanoscale lapping mechanics, in particular as it pertains to ultra-smooth surfaces of magnetic recording head media. Analyze material removal processes in terms of important lapping parameters and material properties. IMPACT CMP 36

37 Huaming Xu The Problem Low diamond particles density of charged lapping plate. Mechanism of single diamond particle embedment process Probabilistic analysis of embedded diamond particles on the lapping plate Insufficient longevity and efficiency of lapping plates. Parametric study of the longevity of the lapping plate Study on material removal mechanism during lapping process Demand for sub-nanometer surface roughness for magnetic recording heads Probabilistic analysis of lapping process IMPACT CMP 37

38 Huaming Xu Charging Process Models Experiments Hydrodynamic Model Probabilistic Model Experiments of Charging Process Kinematics (Tool, Plate rpm ) Mean gap distance Fluid Properties (Viscosity) Topography properties Load Charge Density Geometry Particle distribution Output Friction Coefficient Material Properties Particle Debonding Debonding Model IMPACT CMP 38

39 Huaming Xu Hydrodynamic Model Purpose: Estimate the mean gap between the two surfaces during the charging process. Basic Equations: (1) Modified Reynolds equation F ( θh) ( θh) rur + Uφ = r φ Charge Ring 3 3 L M h g h g y Tin Plate Assumptions: (1) The charge ring is tilting(α, β). (2) Self-balance for Moments. Numerical Methods: (1) Finite Difference Method (2) Newton-Raphson Method M x β ( θ 1) 1 β ( θ 1) ( r ) + ( ) r 6μ r r φ 6μ φ (2) Local gap distance h= f( h, α, β ) (3) Boundary conditions p( r = r ) = p ; p( r = r ) = p min 0 max 0 (4) Force and moment equilibrium equations r max 2π Fh (, αβ, ) = ( p patm) rdθdr L= 0 rmin 0 rmax 2π 2 Mx( h, αβ, ) = pr sinθθ d dr = 0 rmin 0 rmax 2π 2 M y ( h, αβ, ) pr cosθθ d dr 0 = = rmin 0 IMPACT CMP 39

40 Huaming Xu Hydrodynamic Model(cont d) Geometry (along radial direction): rmin rmax Results: Fluid p 0 Conclusions: The mean gap distance decreases with increasing load (pressure). Parameters: Tin Plate Charge ring velocity 20 rpm Maximum radius 67.5 mm Plate velocity 30 rpm Minimum radius 30 mm Viscosity 3.2 cp Distance between centers 130 mm IMPACT CMP 40

41 Huaming Xu Probabilistic Charging Model Charging Process Slurry Assumption: Diamond particles with sizes larger than the local gap can be embedded into the tin layer. Particle density function n ( particles/unit area): 2 k IMPACT CMP 41 h z' 1 n= f( dk) f '( z') f "( z'') dz'' dz' dd d dk k v slurry f '( z '), f "( z") : PDFs of height distribution of top and bottom surfaces f ( dk ): h : PDF of diamond particle size distribution Mean gap distance between two surfaces z ' h z L z "

42 Input parameters: 1 2 Results: Probabilistic Charging Model(cont d) σ = 15 nm; σ = 15 nm; μ = 100 nm; σ = 15 nm; A = 1 μm d Variable : top surface σ Variable : bottom surface σ 2 1 d 2 Conclusions: (1) Particle density decreases with mean gap distance. (2) For mean gap distance larger than mean particle size, the charge density increases with surface roughness; otherwise, the charge density decreases with surface roughness. IMPACT CMP 42

43 Huaming Xu Debonding Model Reason: When a diamond particle is not sufficiently embedded into the tin layer, it could be removed upon application of a lateral force. Debonding Condition: M F > M int Input: Effective particle radius, friction coefficient, material properties. F L Equations: r θ β L int R γ τ i p Conclusion: δ L int F L M F M int π /2 θ 3 2 int = 4 0 τ sin cos 4 0 i β γ β γ = τ i δ F = = μ mπ θ = μ mπ δ δ 2 2 F > int μ mπ (2 δ δ ) > 4τiδ M R d d R M FR p R sin p R(2 R ) M M p R R R δ τ δ i crit i i 2 τ f, τ < = = μ R μπ pm R μπ pm pm IMPACT CMP 43

44 Probabilistic Model-Debonded Particles Probability after particle debonding αdk h z' + crit < k = < k = Pz ( δ d) Pz ( αd) f'( z') f"( z'') dz'' dz' 2τ i α = ( α 1) μπ p m Particle density function n: 1 n= P( z < αd ) f( d ) dd d = 2 k k k k αdk h z' 1 2 k d k f ( d ) f '( z ') f "( z '') dz '' dz ' dd k Result: Parameters: σ = 15 nm; σ = 15 nm; 1 2 μ = 100 nm; σ = 15 nm; d d τ / p 1/6( fully plastic deformation) i m IMPACT CMP 44

45 Huaming Xu Future Goals Experimental verification of hydrodynamic and probabilistic models of the particle charging process. Analysis of the material removal mechanism and estimation of final surface roughness after lapping. Slip-line plasticity analysis and FEM modeling of single diamond particle plowing through a ceramic surface. Estimation of the gap between the recording head surface and the lapping plate during lapping. Lapping mechanics studied by nanoscratching experiments with diamond tips of different size/shape and contact loads. Friction coefficient measurements and lubricant effect on material removal rate and metal transfer/smearing. Lubricated lapping experiments under various loads and speeds using glycol and other hydrocarbon-based lubricants. IMPACT CMP 45

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure GENERAL ENGINEERING AND RESEARCH National Science Foundation SBIR Phase II Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure Robin V. Ihnfeldt, Ph.D. July 11, 2016 Outline Introduction

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

Analytical solution for polish-rate decay in chemical mechanical polishing

Analytical solution for polish-rate decay in chemical mechanical polishing J Eng Math DOI 10.1007/s10665-010-9369-9 LETTER TO THE EDITOR Analytical solution for polish-rate decay in chemical mechanical polishing Hong Shi Terry A. Ring Received: 17 August 2009 / Accepted: 15 March

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization Mechanics of Contact and Lubrication, MTM G230 Department of Mechanical & Industrial Enineering Northeastern University Spring 2006 Chemical Mechanical Planarization George Calota Northeastern University

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

The Mechanics of CMP and Post-CMP Cleaning

The Mechanics of CMP and Post-CMP Cleaning The Mechanics of CMP and Post-CMP Cleaning Sinan Müftü Ahmed Busnaina George Adams Department of Mechanical, Industrial and Manuf. Engineering Northeastern University Boston, MA 02115 Introduction Objective

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Lecture 16 Chemical Mechanical Planarization

Lecture 16 Chemical Mechanical Planarization Lecture 16 Chemical Mechanical Planarization 1/75 Announcements Term Paper: The term paper should be handed in today: Tuesday 21 st November. The term paper will be returned to you in class on Tuesday

More information

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Rajiv K. Singh, F.C. Chang and S. Tanawade, Gary Scheiffele Materials Science and Engineering Particle Science Engineering

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Frictional characteristics of exfoliated and epitaxial graphene

Frictional characteristics of exfoliated and epitaxial graphene Frictional characteristics of exfoliated and epitaxial graphene Young Jun Shin a,b, Ryan Stromberg c, Rick Nay c, Han Huang d, Andrew T. S. Wee d, Hyunsoo Yang a,b,*, Charanjit S. Bhatia a a Department

More information

Holographic Characterization of Agglomerates in CMP Slurries

Holographic Characterization of Agglomerates in CMP Slurries Holographic Characterization of Agglomerates in CMP Slurries Total Holographic Characterization (THC) Comparison of THC to other technologies Dynamic Light Scattering (DLS) Scanning Electron Microscopy

More information

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS Slurry Loop Shear Flow Agglomeration Inter-particle Force Aniruddh J. Khanna, Rajiv K. Singh Materials

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

Analysis of contact deformation between a coated flat plate and a sphere and its practical application Computer Methods and Experimental Measurements for Surface Effects and Contact Mechanics VII 307 Analysis of contact deformation between a coated flat plate and a sphere and its practical application T.

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Frontiers of Fracture Mechanics. Adhesion and Interfacial Fracture Contact Damage

Frontiers of Fracture Mechanics. Adhesion and Interfacial Fracture Contact Damage Frontiers of Fracture Mechanics Adhesion and Interfacial Fracture Contact Damage Biology, Medicine & Dentistry The Next Frontiers For Mechanics One of the current challenges in materials & mechanics is

More information

Nanomechanics Measurements and Standards at NIST

Nanomechanics Measurements and Standards at NIST Nanomechanics Measurements and Standards at NIST Robert F. Cook Deputy Chief, Ceramics Division Leader, Nanomechanical Properties Group robert.cook@nist.gov NIST Mission Promote U.S. innovation and industrial

More information

Modeling and control of material removal and defectivity in chemical mechanical planarization

Modeling and control of material removal and defectivity in chemical mechanical planarization Graduate Theses and Dissertations Graduate College 2009 Modeling and control of material removal and defectivity in chemical mechanical planarization Pavan Kumar Karra Iowa State University Follow this

More information

Nonlinear Finite Element Modeling of Nano- Indentation Group Members: Shuaifang Zhang, Kangning Su. ME 563: Nonlinear Finite Element Analysis.

Nonlinear Finite Element Modeling of Nano- Indentation Group Members: Shuaifang Zhang, Kangning Su. ME 563: Nonlinear Finite Element Analysis. ME 563: Nonlinear Finite Element Analysis Spring 2016 Nonlinear Finite Element Modeling of Nano- Indentation Group Members: Shuaifang Zhang, Kangning Su Department of Mechanical and Nuclear Engineering,

More information

A scratch intersection model of material removal during Chemical Mechanical Planarization (CMP)

A scratch intersection model of material removal during Chemical Mechanical Planarization (CMP) Aerospace Engineering Publications Aerospace Engineering 8-005 A scratch intersection model of material removal during Chemical Mechanical Planarization (CMP) Wei Che Iowa State University Yongjin Guo

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Supplementary Figures

Supplementary Figures Fracture Strength (GPa) Supplementary Figures a b 10 R=0.88 mm 1 0.1 Gordon et al Zhu et al Tang et al im et al 5 7 6 4 This work 5 50 500 Si Nanowire Diameter (nm) Supplementary Figure 1: (a) TEM image

More information

Effects of TGO Roughness on Indentation Response of Thermal Barrier Coatings

Effects of TGO Roughness on Indentation Response of Thermal Barrier Coatings Copyright 2010 Tech Science Press CMC, vol.17, no.1, pp.41-57, 2010 Effects of Roughness on Indentation Response of Thermal Barrier Coatings Taotao Hu 1 and Shengping Shen 1,2 Abstract: In this paper,

More information

Mechanical Characterization of High Aspect Ratio Silicon Nanolines

Mechanical Characterization of High Aspect Ratio Silicon Nanolines Mater. Res. Soc. Symp. Proc. Vol. 1086 2008 Materials Research Society 1086-U05-07 Mechanical Characterization of High Aspect Ratio Silicon Nanolines Bin Li 1, Huai Huang 1, Qiu Zhao 1, Zhiquan Luo 1,

More information

CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES

CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES P. Timoney, E. Ahearne, G. Byrne Advanced Manufacturing Science (AMS) Research Centre, Mechanical Engineering, University College

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing

The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story

More information

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr Stress in Flip-Chip Bumps due to Package Warpage -- Matt Pharr Introduction As the size of microelectronic devices continues to decrease, interconnects in the devices are scaling down correspondingly.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information for Manuscript: Nanoscale wear as a stress-assisted chemical reaction Supplementary Methods For each wear increment, the diamond indenter was slid laterally relative to the silicon

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Modeling of MEMS Fabrication Processes

Modeling of MEMS Fabrication Processes Modeling of MEMS Fabrication Processes Prof. Duane Boning Microsystems Technology Laboratories Electrical Engineering and Computer Science Massachusetts Institute of Technology September 28, 2007 Spatial

More information

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society Mat. Res. Soc. Symp. Proc. Vol. 738 2003 Materials Research Society G7.26.1 Determination of the Plastic Behavior of Low Thermal Expansion Glass at the Nanometer Scale Richard Tejeda, 1 Roxann Engelstad,

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT 2004 AIMETA International Tribology Conference, September 14-17, 2004, Rome, Italy UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT Yuri KLIGERMAN( ), Yuri Kadin( ), Izhak ETSION( ) Faculty of

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

Micro/nano and precision manufacturing technologies and applications

Micro/nano and precision manufacturing technologies and applications The 4th China-American Frontiers of Engineering Symposium Micro/nano and precision manufacturing technologies and applications Dazhi Wang School of Mechanical Engineering Dalian University of Technology

More information

FRICTION AND WEAR OF CARBON-CARBON COMPOSITE PART 2: TEMPERATURE AND STRESS FIELDS ANALYSIS

FRICTION AND WEAR OF CARBON-CARBON COMPOSITE PART 2: TEMPERATURE AND STRESS FIELDS ANALYSIS FRICTION AND WEAR OF CARBON-CARBON COMPOSITE PART 2: TEMPERATURE AND STRESS FIELDS ANALYSIS Kia-Moh Teo and Khalid Lafdi NSF-University-Industry Center For Advanced Friction Studies, Southern Illinois

More information

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Kuan H. Lu, Suk-Kyu Ryu*, Qiu Zhao, Klaus Hummler**,

More information

Effect of the Etching on Chemical Mechanical Planarization of the Selective Layer Surface

Effect of the Etching on Chemical Mechanical Planarization of the Selective Layer Surface International Journal of Materials Science and Applications 2017; 6(4): 193-199 http://www.sciencepublishinggroup.com/j/ijmsa doi: 10.11648/j.ijmsa.20170604.15 ISSN: 2327-2635 (Print); ISSN: 2327-2643

More information

This is the accepted version of a paper presented at 2014 IEEE Electrical Insulation Conference (EIC).

This is the accepted version of a paper presented at 2014 IEEE Electrical Insulation Conference (EIC). http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2014 IEEE Electrical Insulation Conference (EIC). Citation for the original published paper: Girlanda, O., Tjahjanto,

More information

Supporting Information. Interfacial Shear Strength of Multilayer Graphene Oxide Films

Supporting Information. Interfacial Shear Strength of Multilayer Graphene Oxide Films Supporting Information Interfacial Shear Strength of Multilayer Graphene Oxide Films Matthew Daly a,1, Changhong Cao b,1, Hao Sun b, Yu Sun b, *, Tobin Filleter b, *, and Chandra Veer Singh a, * a Department

More information

Slide 1 Raymond Jin, Adcon Lab, Inc.

Slide 1 Raymond Jin, Adcon Lab, Inc. Volume Production Proven Advanced Nanometer Slurries for CMP Applications, Capable of Recycling and Extendable to Larger Si Wafer Sizes and Future IC Technology Nodes Raymond R. Jin, X. L. Song, S. M.

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS

MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS by Jiun-Yu Lai B.S., Naval Architecture and Ocean Engineering National Taiwan University, 1993 S.M., Mechanical Engineering

More information

Coupled seismoelectric wave propagation in porous media. Mehran Gharibi Robert R. Stewart Laurence R. Bentley

Coupled seismoelectric wave propagation in porous media. Mehran Gharibi Robert R. Stewart Laurence R. Bentley Coupled seismoelectric wave propagation in porous media Mehran Gharibi Robert R. Stewart Laurence R. Bentley a Introduction Seismic waves induce electric and magnetic fields. Conversion of acoustic energy

More information

Finite element modelling of fault stress triggering due to hydraulic fracturing

Finite element modelling of fault stress triggering due to hydraulic fracturing Finite element modelling of fault stress triggering due to hydraulic fracturing Arsalan, Sattari and David, Eaton University of Calgary, Geoscience Department Summary In this study we aim to model fault

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids

Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids W. Peng & Y.-T. Hsia Seagate Technology, U.S.A. Abstract At high temperature a layered solid undergoes intense thermal loading

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

Lecture #2: Split Hopkinson Bar Systems

Lecture #2: Split Hopkinson Bar Systems Lecture #2: Split Hopkinson Bar Systems by Dirk Mohr ETH Zurich, Department of Mechanical and Process Engineering, Chair of Computational Modeling of Materials in Manufacturing 2015 1 1 1 Uniaxial Compression

More information

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Ching-Hsun Chao, Chi Yen, Ping Hsu, Eugene Lee, Paul Bernatis

More information

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs Joydeep Mitra 1, Moongon Jung 2, Suk-Kyu Ryu 3, Rui Huang 3, Sung-Kyu Lim

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

1. Narrative Overview Questions

1. Narrative Overview Questions Homework 4 Due Nov. 16, 010 Required Reading: Text and Lecture Slides on Downloadable from Course WEB site: http://courses.washington.edu/overney/nme498.html 1. Narrative Overview Questions Question 1

More information

FIDUCIAL MARKS AS MEASURES OF THIN FILM CRACK ARREST TOUGHNESS

FIDUCIAL MARKS AS MEASURES OF THIN FILM CRACK ARREST TOUGHNESS ORAL REFERENCE: ICF100572OR FIDUCIAL MARKS AS MEASURES OF THIN FILM CRACK ARREST TOUGHNESS Alex A. Volinsky 1, Michael L. Kottke 1, Neville R. Moody 3, Indira S. Adhihetty 1 and William W. Gerberich 2

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

3.032 Problem Set 2 Solutions Fall 2007 Due: Start of Lecture,

3.032 Problem Set 2 Solutions Fall 2007 Due: Start of Lecture, 3.032 Problem Set 2 Solutions Fall 2007 Due: Start of Lecture, 09.21.07 1. In the beam considered in PS1, steel beams carried the distributed weight of the rooms above. To reduce stress on the beam, it

More information

Thermal loads on optical glass

Thermal loads on optical glass Version October 2018 1 Introduction In some applications optical glasses have to endure thermal loads: Finishing procedures for optical elements like lenses, prisms, beam splitters and so on involve thermal

More information

Powerful Modelling Techniques in Abaqus to Simulate

Powerful Modelling Techniques in Abaqus to Simulate Powerful Modelling Techniques in Abaqus to Simulate Necking and Delamination of Laminated Composites D. F. Zhang, K.M. Mao, Md. S. Islam, E. Andreasson, Nasir Mehmood, S. Kao-Walter Email: sharon.kao-walter@bth.se

More information

VIBRATION MODELING IN CMP. M Brij Bhushan IIT Madras

VIBRATION MODELING IN CMP. M Brij Bhushan IIT Madras 1 VIBRATION MODELING IN CMP Jul-14-2010 M Brij Bhushan IIT Madras Outline 2 Introduction Model description Implementation details Experimentation details Verification of simulation output with experimental

More information

Figure 43. Some common mechanical systems involving contact.

Figure 43. Some common mechanical systems involving contact. 33 Demonstration: experimental surface measurement ADE PhaseShift Whitelight Interferometer Surface measurement Surface characterization - Probability density function - Statistical analyses - Autocorrelation

More information

Notes on Rubber Friction

Notes on Rubber Friction Notes on Rubber Friction 2011 A G Plint Laws of Friction: In dry sliding between a given pair of materials under steady conditions, the coefficient of friction may be almost constant. This is the basis

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

EFFECT OF STRAIN HARDENING ON ELASTIC-PLASTIC CONTACT BEHAVIOUR OF A SPHERE AGAINST A RIGID FLAT A FINITE ELEMENT STUDY

EFFECT OF STRAIN HARDENING ON ELASTIC-PLASTIC CONTACT BEHAVIOUR OF A SPHERE AGAINST A RIGID FLAT A FINITE ELEMENT STUDY Proceedings of the International Conference on Mechanical Engineering 2009 (ICME2009) 26-28 December 2009, Dhaka, Bangladesh ICME09- EFFECT OF STRAIN HARDENING ON ELASTIC-PLASTIC CONTACT BEHAVIOUR OF A

More information

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS Proceedings of the International Conference on Mechanical Engineering 2009 (ICME2009) 26-28 December 2009, Dhaka, Bangladesh ICME09- A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR

More information

Intensity (a.u.) Intensity (a.u.) Raman Shift (cm -1 ) Oxygen plasma. 6 cm. 9 cm. 1mm. Single-layer graphene sheet. 10mm. 14 cm

Intensity (a.u.) Intensity (a.u.) Raman Shift (cm -1 ) Oxygen plasma. 6 cm. 9 cm. 1mm. Single-layer graphene sheet. 10mm. 14 cm Intensity (a.u.) Intensity (a.u.) a Oxygen plasma b 6 cm 1mm 10mm Single-layer graphene sheet 14 cm 9 cm Flipped Si/SiO 2 Patterned chip Plasma-cleaned glass slides c d After 1 sec normal Oxygen plasma

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Impact of Uneven Solder Thickness on IGBT Substrate Reliability

Impact of Uneven Solder Thickness on IGBT Substrate Reliability Impact of Uneven Solder Thickness on IGBT Substrate Reliability Hua Lu a, Chris Bailey a, Liam Mills b a Department of Mathematical Sciences, University of Greenwich 30 Park Row, London, SE10 9LS, UK b

More information

197 1st Avenue, Suite 120, Needham MA Tel Fax

197 1st Avenue, Suite 120, Needham MA Tel Fax 197 1st Avenue, Suite 120, Needham MA 02494 Tel 781-444-2250 Fax 781-444-2251 USinfo@csm-instruments.com www.csm-instruments.com //// T 09 113 Wear and Friction Analysis of Thin Coatings An in-depth study

More information

Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate Attributes of Copper CMP

Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate Attributes of Copper CMP G482 Journal of The Electrochemical Society, 151 7 G482-G487 2004 0013-4651/2004/151 7 /G482/6/$7.00 The Electrochemical Society, Inc. Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate

More information

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING Dr. Boris Statnikov Introduction Modern MICRO and NANO technologies in ultra- and high-frequency electronics are widely focused on application

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston,

More information

Effect of Soft Agglomerates on CMP Slurry Performance

Effect of Soft Agglomerates on CMP Slurry Performance Journal of Colloid and Interface Science 256, 137 142 (2002) doi:10.1006/jcis.2002.8352 Effect of Soft Agglomerates on CMP Slurry Performance G. Bahar Basim and Brij M. Moudgil 1 Engineering Research Center

More information

Micro-fluidic applications of telephone cord delamination blisters

Micro-fluidic applications of telephone cord delamination blisters Mater. Res. Soc. Symp. Proc. Vol. 855E 005 Materials Research Society W3.16.1 Micro-fluidic applications of telephone cord delamination blisters Alex A. Volinsky, Patrick Waters, Gregory Wright University

More information

Abrasive-free Copper Chemical Mechanical Polishing in an Orbital Polisher

Abrasive-free Copper Chemical Mechanical Polishing in an Orbital Polisher Abrasive-free Copper Chemical Mechanical Polishing in an Orbital Polisher Qingjun Qin Advisor: Professor R. Shankar Subramanian Center for Advanced Materials Processing (CAMP) Department of Chemical &

More information

USING MULTI-WALL CARBON NANOTUBE (MWCNT) BASED NANOFLUID IN THE HEAT PIPE TO GET BETTER THERMAL PERFORMANCE *

USING MULTI-WALL CARBON NANOTUBE (MWCNT) BASED NANOFLUID IN THE HEAT PIPE TO GET BETTER THERMAL PERFORMANCE * IJST, Transactions of Mechanical Engineering, Vol. 39, No. M2, pp 325-335 Printed in The Islamic Republic of Iran, 2015 Shiraz University USING MULTI-WALL CARBON NANOTUBE (MWCNT) BASED NANOFLUID IN THE

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis

Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis Materials Science-Poland, Vol. 28, No. 3, 2010 Application of nanoindentation technique to extract properties of thin films through experimental and numerical analysis A. WYMYSŁOWSKI 1*, Ł. DOWHAŃ 1, O.

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

Keysight Technologies Measuring Substrate-Independent Young s Modulus of Low-k Films by Instrumented Indentation. Application Note

Keysight Technologies Measuring Substrate-Independent Young s Modulus of Low-k Films by Instrumented Indentation. Application Note Keysight Technologies Measuring Substrate-Independent Young s Modulus of Low-k Films by Instrumented Indentation Application Note Introduction In digital circuits, insulating dielectrics separate the conducting

More information

FRICTION. Friction: FRICARE = to rub (Latin)

FRICTION. Friction: FRICARE = to rub (Latin) FRICTION 1 Friction: FRICARE = to rub (Latin) Resisting force (F) tangential to the interface between two bodies when, under the action of an external force, one body moves or tends to move relative to

More information

A Finite Element Model for Numerical Analysis of Sintering

A Finite Element Model for Numerical Analysis of Sintering A Finite Element Model for Numerical Analysis of Sintering DANIELA CÂRSTEA High-School Group of Railways, Craiova ION CÂRSTEA Department of Computer Engineering and Communication University of Craiova

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design Tamkang Journal of Science and Engineering, Vol. 12, No. 4, pp. 399 407 (2009) 399 Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

If your model can t do this, why run it?

If your model can t do this, why run it? FRACTURE MODEL DESIGN MODEL REQUIREMENTS Describe/Include the basic physics of all important processes Ability to predict (not just mimic) job results Provide decision making capability Understand what

More information

Design of a fastener based on negative Poisson's ratio foam adapted from

Design of a fastener based on negative Poisson's ratio foam adapted from 1 Design of a fastener based on negative Poisson's ratio foam adapted from Choi, J. B. and Lakes, R. S., "Design of a fastener based on negative Poisson's ratio foam", Cellular Polymers, 10, 205-212 (1991).

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Joke De Messemaeker, Stijn Put, Daniël Nelis, Dirk Van Genechten, Paul Lippens, Yves Van Rompaey and Yvan Strauven Umicore

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu*

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu* International Conference on Information Sciences, Machinery, Materials and Energy (ICISMME 2015) Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping,

More information