Lecture 16 Chemical Mechanical Planarization

Size: px
Start display at page:

Download "Lecture 16 Chemical Mechanical Planarization"

Transcription

1 Lecture 16 Chemical Mechanical Planarization 1/75

2 Announcements Term Paper: The term paper should be handed in today: Tuesday 21 st November. The term paper will be returned to you in class on Tuesday 28 th November. 2/75

3 Announcements Homework 4/4: Will be online later today (Tuesday 21 st ). It will be a normal length homework (like HW 1 & 2). 25 marks. Due Tuesday 28 th November. I will return it after the final exam. I will post the solutions immediately after I receive all the homeworks. 3/75

4 Announcements Final Exam: Gleeson 100. Tuesday December 5 th Scheduled: 14:00 to 15: minutes (1 hour 50 minutes). 3 out 4 questions. Otherwise format will be the same as midterm. Closed book and closed notes. All constants and most formulae will be given. Review lecture November 28 th will go through examples. No lecture November 30 th I will upload some sample questions. 4/75

5 Lecture 16 Chemical Mechanical Planarization Overview. Chemical Mechanical Planarization Process. Quantifying Chemical Mechanical Planarization. Chemical Mechanical Planarization in Industry. Overview of Electronic Materials Processing. The Future of Electronics. 5/75

6 Chemical Mechanical Planarization Overview 6/75

7 Planarization In VLSI we need to carry out many vertical depositions. Many depositions are conformal. But we often need access to buried features. 7/75

8 Planarization Similarly, many processes lead to non-uniform features. CVD. Oxidation. Etching. Photolithography. 8/75

9 CMP Chemical Mechanical Planarization (CMP) is a process for smoothing surfaces. It is a hybrid technique: Chemical etching. Abrasive polishing. 9/75

10 CMP Why do we need to combine mechanical grinding and chemical etching? Chemistry alone cannot attain planarization because most chemical reactions are isotropic. Mechanical grinding alone may theoretically achieve planarization but the surface damage is high as compared to CMP. 10/75

11 CMP Pressure = 5 10 psi Cross section Wafer rotation, ω w = 60 rpm W afer Slurry Pad Want enhanced removal from high points in surface Pad rotation, ω p = 30 rpm 11/75

12 Key Issues of CMP Polish rate. Planarity. Selectivity. Uniformity. Post CMP ease of cleaning. 12/75

13 Chemical Mechanical Planarization Process 13/75

14 Planarization Local Planarity: Surfaces are flat locally but the surface height varies across the die. Global Planarity: Surfaces are flat across the entire wafer (or stepper field). Local Planarization Global Planarization Chemical Mechanical Planarization is the only IC process which can achieve global planarity. 14/75

15 Wafer-Pad Contact Modes Hydrodynamic contact mode: Chemicals Velocity Down pressure High velocity Low down pressure Abrasives Pad asperity Pad Three body abrasion Inactive Solid-solid contact mode: Velocity Down pressure Low velocity High down pressure Chemicals Abrasives Pad Two body abrasion Inactive 15/75

16 Pad Materials: polyurethane + filler, polyurethane impregnated felts. Key to transfer of mechanical forces to surfaces being polished. W afer Slurry Pad The pad contacts the higher areas of the wafer. 16/75

17 Pad Harder pad: higher removal rate & better within die uniformity. Softer pad: better within wafer uniformity. Pad break-in is carried out on dummy wafer to stabilize pad performance before running product. The properties of the pad will depend on the surface material of the wafer. Different pads used for different stages in the VLSI process. 17/75

18 Slurry Solution The slurry solution consists of abrasive materials and also contributes some chemical reaction. Abrasives provide mechanical action: E.g. SiO 2, Al 2 O 3, CeO 2 : W afer Slurry Pad We want a uniform size distribution ( nm). Isoelectric Point: the ph when the abrasive surface has no net charge (neutral). 18/75

19 Slurry Solution The slurry solution consists of abrasive materials and also contributes some chemical reaction. Composition (basic, acidic) provides chemical action. Electrochemical process to form oxides and hydroxides (oxidation/reduction). ph is very important. Metal slurries are more chemically active than oxide slurries. W afer Slurry Pad 19/75

20 Slurry What do the chemicals do? Modify the polishing process. Add control and speed. Prevent defects. Remove byproducts. 20/75

21 Slurry Solution In reality the slurry consist of many components: Water. Abrasive nanoparticles. Acids and bases. Anti-coagulating agents. Corrosion inhibitors. Surfactants. Oxidizers. Buffers. Bactericides and fungicides. For mechanical abrasion For controlling ph To ensure high dispersion To stop corrosion pits in metals To neutralize charged surfaces Metals are often oxidized before being polished To control reaction rate To stop solution spoiling 21/75

22 Nanoparticles Various abrasives are employed in the slurry: Silica (SiO 2 ) Alumina (Al 2 O 3 ) Ceria (Ce 2 O 3 ) Polymers 22/75

23 Nanoparticles Surface area is important for abrasion: Surface area = 15 m 2 /g Surface area = 100 m 2 /g 23/75

24 SiO 2 CMP Chemistry Glass polishing for preparation of optical lenses is well-established. Basic solutions: High ph. H 2 O provides the chemical component of the polish: H 2 O enters the SiO 2 and weakens it by breaking Si-O bonds: Si Si O Si + H 2 O 2 Si OH O Si +4H 2 O Si(OH) 4 Si(OH) 4 is highly soluble in H 2 O at ph > 10. Stress of the abrasive particles accelerates these reactions. 24/75

25 Mechanism of Metal CMP Certain deposition techniques are conformal: Oxide Metal Substrate Formation of passivation oxide film (via water or oxidizer in slurry): Oxide Metal Substrate 25/75

26 Mechanism of Metal CMP Depassivation (abrasion): Oxide Metal Substrate Dissolution takes place via corrosion (chemically): Oxide Metal Substrate 26/75

27 Mechanism of Metal CMP Repassivation. Oxide Metal Substrate Planarization by repetitive cycles: Oxide Metal Substrate 27/75

28 Quantifying CMP 28/75

29 Measures of Planarity Planarity is quantified via step properties: φ R SH R Global Planarization 100 m 0.5 o Local Planarization m 0.5 o - 30 o Surface Smoothing m 30 o Degree of planarization: Step Height after CMP DP = 1 SH postcmp SH precmp Step Height before CMP 29/75

30 Preston s Equation For purely mechanical polishing, the Preston Equation was developed empirically: R = Δh Δt = K PPV pad Where: R is the polishing rate. Δh is the change in height. Δt is the time the polish is applied for. K P is the Preston coefficient. P is the pressure applied to the pad. V pad is the velocity of the pad relative to wafer. 30/75

31 R Preston s Equation In CMP the observed rate deviates from the predictions of Preston s Equation: PV 31/75

32 R R Preston s Equation In Preston s Equation: Material removal rate typically over-estimated. Model derived for glass polishing (hard pad). A threshold pressure often exists. Modifications are necessary to Preston s Equation: PV P m V m 32/75

33 Theoretical CMP Models Preston s Equation (1926 based on glass polishing): R = K P PV pad Tseng and Wang (1997 hydrodynamic boundary layer, Hertzian penetration model): R = K P P 5/6 1/2 V pad Shi (1998 particle penetration, pad elasticity): R = K P P 2/3 V pad 33/75

34 Chemical Mechanical Planarization in Industry 34/75

35 CMP Systems Examples of real CMP systems. 35/75

36 Pressurized Polishing Head 36/75

37 Linear Polisher Configuration Slurry dispenser ω w Rotating wafer carrier Wafer (upside down) air-bearing platen for uniformity control Continuous belt polish pad linear velocity, v p 37/75

38 Linear Polisher Configuration 38/75

39 CMP Defect Modes There are many potential problems with CMP: 39/75

40 Particle Accumulation 40/75

41 Macro Scratches 41/75

42 Defects Due to Trenching 42/75

43 Micro Scratches 43/75

44 Corrosion 44/75

45 Corrosion Corrosion is where a pit will be formed due to chemical processes: Abrasive Particle Inhibitor H 2 O 2 Metal Oxide Substrate Oxide Substrate Oxide Substrate Inhibitors in the slurry can be applied to protect porous metal layers. The more inhibitor, the lower the corrosion, but too much can stop the polishing process all together. 45/75

46 Defects Due to Slurry fumed colloidal 46/75

47 Additives Sometimes surfaces can become charged in the CMP - - process: This can accelerate or decelerate the process unpredictably. Charged surfactants can be included in the slurry to neutralize charges. 47/75

48 Advantages of CMP Global Planarization can be realized. Universal: all types of materials can be planarized. Allows alternative method to pattern a material that cannot be readily plasma etched (e.g., Cu). Potential to be a low cost process. Low complexity. 48/75

49 Disadvantages of CMP New process optimized. Lack of fundamental understanding. Most models are empirical. Potential defect mechanisms: Scratches from abrasive. Residual abrasive particles. Delamitation at weak interfaces. Corrosive attack from slurry chemicals. 49/75

50 Overview of Electronic Materials Processing 50/75

51 What we Have Covered Sand to Ingot Wafer Dicing 51/75

52 What we Have Covered Photolithography Ion Implantation 52/75

53 What we Have Covered Etching Deposition 53/75

54 What we Have Covered Electrodeposition CMP 54/75

55 What We Did Not Cover Circuit Design Packaging 55/75

56 The Future of Electronics 56/75

57 The End of Moore s Law From The Economist 2016: 57/75

58 The End of Moore s Law Peter Lee (Microsoft): There s a law about Moore s law, The number of people predicting the death of Moore s law doubles every two years. 58/75

59 The End of Moore s Law 59/75

60 The End of Moore s Law We know the lattice spacing of Si is 5.43Å. So there is a fundamental limit Even before we get there, the electron wavefunction will not be contained in the device. Amplitude Probability 60/75

61 The End of Moore s Law There are economic reasons: 61/75

62 Short Term Approaches Extreme UV lithography (EUV) should get us down to < 10nm. However there are many challenges: Absorption of light by many components of system. New photoresists are required. Mirrors and sources need to be carefully designed / selected. 62/75

63 Short-Term Approaches High κ-dielectrics allow a higher capacitance with the same thickness. C = capacitance. C = κε 0A x κ = relative permittivity. ε 0 = vacuum permittivity. A = device area. x = dielectric thickness. 63/75

64 Short-Term Approaches High κ-dielectrics allow a higher capacitance with the same thickness. C = κε 0A x With a higher κ dielectric, a thicker gate dielectric layer might be used which can reduce the leakage current flowing through the structure as well as improving the gate dielectric reliability. 64/75

65 Short-Term Approaches FinFETs can be used to apply a field from 3- sides rather than 1: Capability to get better performance from same space. 65/75

66 Long-Term Approaches Optical computing: processing information with light rather than charge, 66/75

67 Long-Term Approaches Tunneling and quantum transistors. Switching time for quantum-mechanical tunneling << than for field-effect transistors. 67/75

68 Long-Term Approaches 2-dimensional materials. Graphene was the first, but is not semiconducting. Many more exist now. 68/75

69 Long-Term Approaches 2-dimensional materials. 69/75

70 Long-Term Approaches Metal-Insulator Transition. Some materials exhibit discreet change in electronic properties at certain temperatures. Can we induce phase-transition using electrical signals? /75

71 Long-Term Approaches Quantum computing. Rather than processing bits (1) or (0) we can process superpositions of states. These are essentially mixtures of 1 s and 0 s. ȁψ =ȁ1 ȁψ =ȁ0 ȁψ = ȁ 1 +ȁ0 For certain, applications quantum computers can be greatly superior to electronic computer: Cracking RSA encryption. Travelling salesman problem. Certain AI applications. 2 71/75

72 Long-Term Approaches Quantum computing Require low-temperatures (mk). Still in development. 72/75

73 Long-Term Approaches Quantum computing Commercial quasi-quantum computers do exist. 73/75

74 Long-Term Approaches Quantum computing You can already run calculations: 74/75

75 Long-Term Approaches Neuromorphic Computing. Computation strategy is based on the way neurons processing information in brains. Potential applications in artificial intelligence. 75/75

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure GENERAL ENGINEERING AND RESEARCH National Science Foundation SBIR Phase II Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure Robin V. Ihnfeldt, Ph.D. July 11, 2016 Outline Introduction

More information

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Joke De Messemaeker, Stijn Put, Daniël Nelis, Dirk Van Genechten, Paul Lippens, Yves Van Rompaey and Yvan Strauven Umicore

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Lecture 18 Field-Effect Transistors 3

Lecture 18 Field-Effect Transistors 3 Lecture 18 Field-Effect Transistors 3 Schroder: Chapters, 4, 6 1/38 Announcements Homework 4/6: Is online now. Due Today. I will return it next Wednesday (30 th May). Homework 5/6: It will be online later

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 VLSI II 2005-2-24 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last Time: Device

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Nanofabrication Lab Process Development for High-k Dielectrics

Nanofabrication Lab Process Development for High-k Dielectrics Nanofabrication Lab Process Development for Highk Dielectrics Each lab group consists of 4 to 5 students. The dates of these Labs are: Lab 1 Date 14.02.2013 Time: 812 am Lab 2 Date 14.02.2013 Time: 15

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

The Mechanics of CMP and Post-CMP Cleaning

The Mechanics of CMP and Post-CMP Cleaning The Mechanics of CMP and Post-CMP Cleaning Sinan Müftü Ahmed Busnaina George Adams Department of Mechanical, Industrial and Manuf. Engineering Northeastern University Boston, MA 02115 Introduction Objective

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS Slurry Loop Shear Flow Agglomeration Inter-particle Force Aniruddh J. Khanna, Rajiv K. Singh Materials

More information

EE141- Spring 2003 Lecture 3. Last Lecture

EE141- Spring 2003 Lecture 3. Last Lecture - Spring 003 Lecture 3 IC Manufacturing 1 Last Lecture Design Metrics (part 1) Today Design metrics (wrap-up) IC manufacturing 1 Administrivia Discussion sessions start this week. Only one this week (Dejan

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Semiconductor Integrated Process Design (MS 635)

Semiconductor Integrated Process Design (MS 635) Semiconductor Integrated Process Design (MS 635) Instructor: Prof. Keon Jae Lee - Office: 응용공학동 #4306, Tel: #3343 - Email: keonlee@kaist.ac.kr Lecture: (Tu, Th), 1:00-2:15 #2425 Office hour: Tues & Thur

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Modeling and control of material removal and defectivity in chemical mechanical planarization

Modeling and control of material removal and defectivity in chemical mechanical planarization Graduate Theses and Dissertations Graduate College 2009 Modeling and control of material removal and defectivity in chemical mechanical planarization Pavan Kumar Karra Iowa State University Follow this

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

Slide 1 Raymond Jin, Adcon Lab, Inc.

Slide 1 Raymond Jin, Adcon Lab, Inc. Volume Production Proven Advanced Nanometer Slurries for CMP Applications, Capable of Recycling and Extendable to Larger Si Wafer Sizes and Future IC Technology Nodes Raymond R. Jin, X. L. Song, S. M.

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE143 LAB. Professor N Cheung, U.C. Berkeley EE143 LAB 1 1 EE143 Equipment in Cory 218 2 Guidelines for Process Integration * A sequence of Additive and Subtractive steps with lateral patterning Processing Steps Si wafer Watch out for materials compatibility

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Discussions start next week Labs start in week 3 Homework #1 is due next Friday EECS141 1 Discussions start next week Labs start in week 3 Homework #1 is due next Friday Everyone should have an EECS instructional account Use cory, quasar, pulsar EECS141 2 1 CMOS LEAKAGE CHARACTERIZATION

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

Objective: Competitive Low-Cost Thin-Film Varactor Technology. Integrated Monolithic Capacitors using Sputtered/MOCVD material on low-cost substrates

Objective: Competitive Low-Cost Thin-Film Varactor Technology. Integrated Monolithic Capacitors using Sputtered/MOCVD material on low-cost substrates Overview of Program Objective: Competitive Low-Cost Thin-Film Varactor Technology coplanar waveguide (CPW) capacitor ground signal ground Si substrate etched troughs Focus of Our Program! Reproducibility!

More information

Lecture 10 Charge Carrier Mobility

Lecture 10 Charge Carrier Mobility Lecture 10 Charge Carrier Mobility Schroder: Chapter 8 1/64 Announcements Homework 2/6: Is online now. Due Today. I will return it next monday (7 th May). Midterm Exam: Friday May 4 th at 10:00am in STAG113

More information

an introduction to Semiconductor Devices

an introduction to Semiconductor Devices an introduction to Semiconductor Devices Donald A. Neamen Chapter 6 Fundamentals of the Metal-Oxide-Semiconductor Field-Effect Transistor Introduction: Chapter 6 1. MOSFET Structure 2. MOS Capacitor -

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012 EE 5211 Analog Integrated Circuit Design Hua Tang Fall 2012 Today s topic: 1. Introduction to Analog IC 2. IC Manufacturing (Chapter 2) Introduction What is Integrated Circuit (IC) vs discrete circuits?

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Section 12: Intro to Devices

Section 12: Intro to Devices Section 12: Intro to Devices Extensive reading materials on reserve, including Robert F. Pierret, Semiconductor Device Fundamentals Bond Model of Electrons and Holes Si Si Si Si Si Si Si Si Si Silicon

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Chapter 12: Electrical Properties. RA l

Chapter 12: Electrical Properties. RA l Charge carriers and conduction: Chapter 12: Electrical Properties Charge carriers include all species capable of transporting electrical charge, including electrons, ions, and electron holes. The latter

More information

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES 148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach

More information

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost! Two motivations to scale down CMOS Scaling Faster transistors, both digital and analog To pack more functionality per area. Lower the cost! (which makes (some) physical sense) Scale all dimensions and

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization Mechanics of Contact and Lubrication, MTM G230 Department of Mechanical & Industrial Enineering Northeastern University Spring 2006 Chemical Mechanical Planarization George Calota Northeastern University

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility Ching-Hsun Chao, Chi Yen, Ping Hsu, Eugene Lee, Paul Bernatis

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures

Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures Supplementary information for Tunneling Spectroscopy of Graphene-Boron Nitride Heterostructures F. Amet, 1 J. R. Williams, 2 A. G. F. Garcia, 2 M. Yankowitz, 2 K.Watanabe, 3 T.Taniguchi, 3 and D. Goldhaber-Gordon

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching 課程名稱 : 微製造技術 Microfabrication Technology 授課教師 : 王東安 Lecture 6 Etching 1 Lecture Outline Reading Campbell: Chapter 11 Today s lecture Wet etching Chemical mechanical polishing Plasma etching Ion milling

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE ETAL-OXIDE-SEICONDUCTOR (OS) STRUCTURES KRZYSZTOF PISKORSKI (kpisk@ite.waw.pl), HENRYK. PRZEWLOCKI Institute

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project Feature-level Compensation & Control Process Integration September 15, 2005 A UC Discovery Project Current Milestones Si/Ge-on-insulator and Strained Si-on-insulator Substrate Engineering (M28 YII.13)

More information

Nanoparticle Technology. Dispersions in liquids: suspensions, emulsions, and foams ACS National Meeting April 9 10, 2008 New Orleans

Nanoparticle Technology. Dispersions in liquids: suspensions, emulsions, and foams ACS National Meeting April 9 10, 2008 New Orleans Nanoparticle Technology Dispersions in liquids: suspensions, emulsions, and foams ACS National Meeting April 9 10, 2008 New Orleans Wetting in nano ACS National Meeting April 9 10, 2008 New Orleans 10

More information

Lecture 2 Thin Film Transistors

Lecture 2 Thin Film Transistors Lecture 2 Thin Film Transistors 1/60 Announcements Homework 1/4: Will be online after the Lecture on Tuesday October 2 nd. Total of 25 marks. Each homework contributes an equal weight. All homework contributes

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Transistor and Integrated Circuits: History

Transistor and Integrated Circuits: History Course Objective Review and practice fundamental chemical engineering concepts (mass, energy, and momentum transport coupled with heterogeneous and homogeneous reactions and thermodynamics). Apply these

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Lecture 6: 2D FET Electrostatics

Lecture 6: 2D FET Electrostatics Lecture 6: 2D FET Electrostatics 2016-02-01 Lecture 6, High Speed Devices 2014 1 Lecture 6: III-V FET DC I - MESFETs Reading Guide: Liu: 323-337 (he mainly focuses on the single heterostructure FET) Jena:

More information

Multiple Gate CMOS and Beyond

Multiple Gate CMOS and Beyond Multiple CMOS and Beyond Dept. of EECS, KAIST Yang-Kyu Choi Outline 1. Ultimate Scaling of MOSFETs - 3nm Nanowire FET - 8nm Non-Volatile Memory Device 2. Multiple Functions of MOSFETs 3. Summary 2 CMOS

More information