ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 7 DATAPATH CIRCUIT

Size: px
Start display at page:

Download "ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 7 DATAPATH CIRCUIT"

Transcription

1 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 Note - Unit 7 INTRODUCTION TO DIGITL SYSTM DSIGN DIGITL SYSTM MODL FSM + Datapath Circuit: DTPTH CIRCUIT Input reetn clock FINIT STT MCHIN CONTROL CIRCUIT Output XMPL: CR LOT COUNTR photo receptor If = No light received (car obtructing LD ) If B = No light received (car obtructing LD B) B If car enter the lot, the following equence ( B) mut be followed: If car leave the lot, the following equence ( B) mut be followed: car might tay in a tate for many cycle ince the car peed i very large compared to that of the clock frequency. DIGITL SYSTM (FSM + Datapath circuit) Uually, when reetn (aynchronou clear) and clock are not drawn, they are implied. reetn B FINIT STT MCHIN ud ud Q clock CONTROL CIRCUIT -bit counter DTPTH CIRCUIT Intructor: Daniel Llamocca

2 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 Finite State Machine (FSM): B/ ud reetn = / / / / / / / / /,,/ S S3 S S5 / / / / / / / / / / / / / / / S6 / / S7 S / / / lgorithmic State Machine (SM) chart: S reetn= = no ye S3 S6 S S7 S S, ud Intructor: Daniel Llamocca

3 clr LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: CCUMULTOR DIGITL SYSTM (FSM + Datapath circuit) clr: Synchronou clear. If = and clr =, then the output bit of the regiter are et to ero. reetn Din D Q ign extenion + D Q Dout retart i FINIT STT MCHIN clr Finite State Machine (FSM): retart/i clr reetn = / / X/ S / / X/ lgorithmic State Machine (SM): S reetn= retart i, clr i i, clr retart i 3 Intructor: Daniel Llamocca

4 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: 7-SGMNT SRILIZR DIGITL SYSTM (FSM + Datapath circuit) Mot FPG Development board have a number of 7-egment diplay (e.g.,, ). However, only one can be ued at a time. If we want to diplay four digit (input, B, C, D), we can deign a erialier that will only how one digit at a time on the 7-egment diplay. Since only one 7-egment diplay can be ued at a time, we need to erialie the four BCD output. In order for each digit to appear bright and continuouly illuminated, each digit i illuminated for m every m (i.e. a digit i un-illuminated for 3 m and illuminated for m). Thi i taken care of by feeding the output '' of the counter to. to the enable input of the FSM. Thi way, tate tranition only occur each.. In the figure, the enable ignal for the four 7-egment diplay are active low (thi i uually the cae). B C D 3 BCD to 7 egment decoder 7 Counter (.) reetn -to- decoder buf buf(3) buf() buf() buf() FINIT STT MCHIN lgorithmic State Machine (SM) chart: Thi i a Moore-type FSM. reetn= S S3 S Intructor: Daniel Llamocca

5 L B LB P clrp LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: SRIL MULTIPLIR UNSIGND MULTIPLICTION: SQUNTIL LGORITHM P, Load,B while B if b = then P P + end if left hift right hift B end while xample: x P + P P + = P + = P,, B b= P P + =., B b= P P =., B b= P P + = + =., B b= P P + = + =., B DIGITL SYSTM (FSM + Datapath circuit) Iterative Multiplier rchitecture: clr: ynchronou clear. In thi cae, if clr = and =, the regiter content are initialied to. The olution i computed in at mot N + cycle. N N DataB Data.. reetn b FSM L done din _l N N ".."&Data Shift-left N + LB B din _l N N B b Shift-right Parallel cce _l = Load _l = Shift P clrp clr P N lgorithmic State Machine (SM) chart: P S reetn= clrp P L, LB,, B, B S3 done b P 5 Intructor: Daniel Llamocca

6 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 xample (timing diagram): clock reetn D DB B F 3C 7 F F 3C 7 F tate S S S3 S S S3 S P F D 69 F F B C3 C3 C3 done L=LB =B clrp P 6 Intructor: Daniel Llamocca

7 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: SRIL DT TRNSMISSION WITH URT URT Interface Thi interface tranfer data aynchronouly (clock i not tranmitted, tranmitter and receiver ue their own clock). Data communication: RXD (receive pin), TXD tranmit pin). The FT3 chip inide the Nexy- board i in charge of handling the USB communication with a computer. Format of a Frame: Start bit ( ), to 9 data bit (LSB tranmitted firt), optional parity bit, and a top bit ( ). Tranmitter: Simple deign that tranmit the data frame at the Baud rate (or bit rate in bp). Receiver: It ue a clock ignal whoe frequency i a multiple (uually 6) of the incoming data rate. Baud rate clock Micro USB FT3 TXD RXD rtix-7 - Nexy C (RXD) D (TXD) TXD tart DO D D D3 D D5 D6 D7 top DIGITL SYSTM (FSM + Datapath circuit) For a baud rate of 96 bp, the Baud rate clock i 96 H. N = 96 = 6. Thi number change according to the n deired baud rate. reetn= S TXD TXD SW TXD C Q FSM LR R o dout _L RIGHT SHIFT RGISTR din C Q LR, R reetn clock Q clr counter to 7 Q 3 Q C clr Q counter to N- n C S3 TXD C C (C C+) STRT bit C (C ) If max count i reached, C= make C= S TXD o C C (C C+) DT bit C (C ), R Q Q (Q Q+) Q (Q ) If max count i reached, Q= make Q= S5 TXD STOP bit C (C ) C C (C C+) 7 Intructor: Daniel Llamocca

8 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: BIT-COUNTING CIRCUIT SQUNTIL LGORITHM C while if a = then C C + end if right hift end while DIGITL SYSTM (FSM + Datapath circuit) clr: Synchronou clear. In thi cae, if clr =, the count i initialied to ero (here, we do not need C to be ). Data reetn _l _r din _l Parallel cce Right Shift (MSB to LSB) _l = Load _l = Shift n n C Q m clr_c C clr counter: m bit m = ceil(log(n)) + a FINIT STT MCHIN done lgorithmic State Machine (SM) chart: S reetn= clr_c _r, _l _r S3 done a C Intructor: Daniel Llamocca

9 _G O_G _ext _R O_R _R O_R _R O_R _R3 O_R3 op _ LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: SIMPL PROCSSOR DIGITL SYSTM (FSM + Datapath circuit) Data_in n D Q n Data BUS R R R R3 B LU G w fun 7 CONTROL CIRCUIT done Operation: very time w = '', we grab the intruction from fun and execute it: funq = f f f Ry Ry Rx Rx f Operation Function Load Rx, Data Rx Data Move Rx, Ry Rx Ry dd Rx, Ry Rx Rx + Ry Sub Rx, Ry Rx Rx - Ry Not Rx Rx NOT (Rx) nd Rx, Ry Rx Rx ND Ry Or Rx, Ry Rx Rx OR Ry Xor Rx, Ry Rx Rx XOR Ry 9 Intructor: Daniel Llamocca

10 _fun G op O_G _ext LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 Control Circuit: fun _fun 7 D Q 7 funq Rx Rx x DCODR with enable 3 _R _R _R _R3 Ry Rx o o DCODR with enable 3 O_R O_R O_R O_R3 funq = f f f Ry Ry Rx Rx x o o w f 3 FSM done rithmetic-logic Unit (LU): op Operation Function Unit y <= y <= + y <= - Tranfer Increment Decrement y <= B Tranfer B y <= B + Increment B rithmetic y <= B y <= + B y <= B Decrement B dd and B Subtract B from '' y <= not y <= not B y <= ND B y <= OR B y <= NND B y <= NOR B y <= XOR B y <= XNOR B Complement Complement B ND OR NND NOR XOR XNOR Logic Intructor: Daniel Llamocca

11 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 lgorithmic State Machine (SM): S reetn= w _fun _ext, x done f o, x done o, o _ o, o _ o, o _ o, o _ o, o _ o, o _ S3a Sa S5a S6a S7a Sa o, _G op o, _G op _G op o, _G op o, _G op o, _G op S3b Sb S5b S6b S7b Sb O_G, x done O_G, x done O_G, x done O_G, x done O_G, x done O_G, x done Intructor: Daniel Llamocca

12 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 XMPL: DISPLYING PTTRNS ON 7-SGMNT DISPLYS Different pattern are hown baed on the elector el ignal. Two 7-egment diplay are ued. top input: If it i aerted (top = ), the light pattern freee. The input x elect the rate of change (every.5,.,.5, or.5 econd). eg[7..] : el 7 6 eg x 5 top? reetn 3 el[..] clock DIGITL SYSTM (FSM + Datapath circuit) x top reetn clock Q?? counter (.5) Q?? el FINIT STT MCHIN deg g D Q 7 7 On the NXYS, only one 7-egment diplay can be ued at a time 7 counter (.) 3 Counter (.) Q?? FINIT STT MCHIN -to- decoder buf buf() buf() counter (.5) Q?? x = Light change every.5 x = Light change every. x = Light change every.5 x = Light change every.5 counter (.5) Intructor: Daniel Llamocca

13 LCTRICL ND COMPUTR NGINRING DPRTMNT, OKLND UNIVRSITY C-7: Digital Logic Deign Fall 7 lgorithmic State Machine (SM) chart: S reetn= el deg, g deg, g deg, g deg, g S5 S S deg, g deg, g deg, g deg, g S3 S6 S9 deg, g deg, g deg, g deg, g S S7 S S3 deg, g deg, g deg, g deg, g lgorithmic State Machine (SM) chart: Thi i the FSM that control the output MUX S reetn= 3 Intructor: Daniel Llamocca

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Winter Notes - Unit 7 DATAPATH CIRCUIT

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Winter Notes - Unit 7 DATAPATH CIRCUIT Note - Unit 7 INTRODUCTION TO DIGITL SYSTM DSIGN DIGITL SYSTM MODL FSM + Datapath Circuit: DTPTH CIRCUIT Input FINIT STT MCHIN CONTROL CIRCUIT Output XMPL: CR LOT COUNTR photo receptor If = No light received

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Digital Logic and Microprocessor Design Winter 2015.

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Digital Logic and Microprocessor Design Winter 2015. LCTRICAL AND COMPUTR NGINRING DPARTMNT, OAKLAND UNIVRSITY C-378: Digital Logic and Microproceor Deign Winter 5 Note - Unit 7 INTRODUCTION TO DIGITAL SYSTM DSIGN DIGITAL SYSTM MODL FSM + Datapath Circuit:

More information

Unit 1 - Digital System Design

Unit 1 - Digital System Design LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare esign Winter 8 IGITAL SYSTM MOL FSM (CONTROL) + ATAPATH CIRCUIT Unit - igital System esign ATAPATH CIRCUIT Inputs clock FINIT

More information

Solutions - Final Exam (Online Section) (Due Date: December 11th by 10:00 am) Clarity is very important! Show your procedure!

Solutions - Final Exam (Online Section) (Due Date: December 11th by 10:00 am) Clarity is very important! Show your procedure! DPARTMNT OF LCTRICAL AND COMPUTR NGINRING, TH UNIVRSITY OF NW MXICO C-238L: Computer Logic Deign Fall 23 Solution - Final am (Online Section) (Due Date: December th by : am) Clarity i very important! Show

More information

Solutions - Final Exam (April 19 7:00 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Final Exam (April 19 7:00 pm) Presentation and clarity are very important! Show your procedure! ILUT OLUT Solution - Final am (ril 9 th @ 7: m) Preentation and clarit are ver imortant! Sho our rocedure! PROBLM (2 PTS) Comlete the timing diagram of the folloing circuit. G = G 3 G 2 G G =, = 3 2 2

More information

Solutions - Final Exam (December 14 7:00 pm) Presentation and clarity are very important! Show your procedure!

Solutions - Final Exam (December 14 7:00 pm) Presentation and clarity are very important! Show your procedure! CTRIC N COMUTR NGINRING RTMNT, OKN UNIVRSITY C-27: igital ogic eign Fall 27 Solution - Final am (ecember th @ 7: m) reentation and clarity are very imortant! Sho your rocedure! ROM (2 TS) Comlete the timing

More information

Lecture 18: Datapath Functional Units

Lecture 18: Datapath Functional Units Lecture 8: Datapath Functional Unit Outline Comparator Shifter Multi-input Adder Multiplier 8: Datapath Functional Unit CMOS VLSI Deign 4th Ed. 2 Comparator 0 detector: A = 00 000 detector: A = Equality

More information

Lecture 12: Datapath Functional Units

Lecture 12: Datapath Functional Units Lecture 2: Datapath Functional Unit Slide courtey of Deming Chen Slide baed on the initial et from David Harri CMOS VLSI Deign Outline Comparator Shifter Multi-input Adder Multiplier Reading:.3-4;.8-9

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

Lecture 12: Datapath Functional Units

Lecture 12: Datapath Functional Units Introduction to CMOS VLSI Deign Lecture 2: Datapath Functional Unit David Harri Harvey Mudd College Spring 2004 Outline Comparator Shifter Multi-input Adder Multiplier 2: Datapath Functional Unit CMOS

More information

Design at the Register Transfer Level

Design at the Register Transfer Level Week-7 Design at the Register Transfer Level Algorithmic State Machines Algorithmic State Machine (ASM) q Our design methodologies do not scale well to real-world problems. q 232 - Logic Design / Algorithmic

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Jul 4, 2005 turbo_code_primer Revision 0.0. Turbo Code Primer

Jul 4, 2005 turbo_code_primer Revision 0.0. Turbo Code Primer Jul 4, 5 turbo_code_primer Reviion. Turbo Code Primer. Introduction Thi document give a quick tutorial on MAP baed turbo coder. Section develop the background theory. Section work through a imple numerical

More information

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction Chapter 5 igital systems digital system is any machine that processes information encoded in the form of digits. Modern digital systems use binary digits, encoded as voltage levels. Two voltage levels,

More information

A Study on Simulating Convolutional Codes and Turbo Codes

A Study on Simulating Convolutional Codes and Turbo Codes A Study on Simulating Convolutional Code and Turbo Code Final Report By Daniel Chang July 27, 2001 Advior: Dr. P. Kinman Executive Summary Thi project include the deign of imulation of everal convolutional

More information

Digital Control System

Digital Control System Digital Control Sytem - A D D A Micro ADC DAC Proceor Correction Element Proce Clock Meaurement A: Analog D: Digital Continuou Controller and Digital Control Rt - c Plant yt Continuou Controller Digital

More information

Counters. We ll look at different kinds of counters and discuss how to build them

Counters. We ll look at different kinds of counters and discuss how to build them Counters We ll look at different kinds of counters and discuss how to build them These are not only examples of sequential analysis and design, but also real devices used in larger circuits 1 Introducing

More information

Additional Gates COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals

Additional Gates COE 202. Digital Logic Design. Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Additional Gates COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Additional Gates and Symbols Universality of NAND and NOR gates NAND-NAND

More information

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson Logic Deign CS 270: Mathematical Foundation of Computer Science Jeremy Johnon Logic Deign Objective: To provide an important application of propoitional logic to the deign and implification of logic circuit.

More information

EXPERIMENT Bit Binary Sequential Multiplier

EXPERIMENT Bit Binary Sequential Multiplier 12.1 Objectives EXPERIMENT 12 12. -Bit Binary Sequential Multiplier Introduction of large digital system design, i.e. data path and control path. To apply the above concepts to the design of a sequential

More information

Unit 1 - Digital System Design

Unit 1 - Digital System Design LCTRICAL AN COMPUTR NGINRING PARTMNT, OAKLAN UNIVRSITY C-37: Computer Hardare eig Witer 29 IGITAL SYSTM MOL FSM (CONTROL) + ATAPATH CIRCUIT Uit - igital Sytem eig ATAPATH CIRCUIT Iput FINIT STAT MACHIN

More information

A crash course in Digital Logic

A crash course in Digital Logic crash course in Digital Logic Computer rchitecture 1DT016 distance Fall 2017 http://xyx.se/1dt016/index.php Per Foyer Mail: per.foyer@it.uu.se Per.Foyer@it.uu.se 2017 1 We start from here Gates Flip-flops

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller 2-. piral 2- Datapath Components: Counters s Design Example: Crosswalk Controller 2-.2 piral Content Mapping piral Theory Combinational Design equential Design ystem Level Design Implementation and Tools

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

6.111 Lecture # 12. Binary arithmetic: most operations are familiar Each place in a binary number has value 2 n

6.111 Lecture # 12. Binary arithmetic: most operations are familiar Each place in a binary number has value 2 n 6.111 Lecture # 12 Binary arithmetic: most operations are familiar Each place in a binary number has value 2 n Representation of negative numbers: there are a number of ways we might do this: 5 = 00000101

More information

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5.

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5. CHPTER 9 2008 Pearson Education, Inc. 9-. log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F 0 N = F 7 9-3.* = S + S = S + S S S S0 C in C 0 dder

More information

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of 27 WORKBOOK Detailed Eplanations of Try Yourself Questions Electrical Engineering Digital Electronics Number Systems and Codes T : Solution Converting into decimal number system 2 + 3 + 5 + 8 2 + 4 8 +

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization pring 201 More inary rithmetic LU 1 nnouncements Lab 4 prelab () due tomorrow Lab 5 to be released tonight 2 Example: Fixed ize 2 C ddition White stone =

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 18 Arithmetic II (Multiplication) Computer Number Systems

Review. EECS Components and Design Techniques for Digital Systems. Lec 18 Arithmetic II (Multiplication) Computer Number Systems Review EE 5 - omponents and Design Techniques for Digital ystems Lec 8 rithmetic II (Multiplication) David uller Electrical Engineering and omputer ciences University of alifornia, Berkeley http://www.eecs.berkeley.edu/~culler

More information

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form

Vidyalankar. S.E. Sem. III [EXTC] Digital System Design. Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form S.E. Sem. III [EXTC] Digital System Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80 Q.1 Solve following : [20] Q.1(a) Explain the following decimals in gray code form [5] (i) (42) 10 (ii) (17)

More information

CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015

CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015 CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015 Name of the person on your left : Name of the person on your right: 1. 20 points 2. 20 points 3. 20 points 4. 15 points 5. 15 points 6. 10

More information

Exam for Physics 4051, October 31, 2008

Exam for Physics 4051, October 31, 2008 Exam for Physics 45, October, 8 5 points - closed book - calculators allowed - show your work Problem : (6 Points) The 4 bit shift register circuit shown in Figure has been initialized to contain the following

More information

ECE 341. Lecture # 3

ECE 341. Lecture # 3 ECE 341 Lecture # 3 Instructor: Zeshan Chishti zeshan@ece.pdx.edu October 7, 2013 Portland State University Lecture Topics Counters Finite State Machines Decoders Multiplexers Reference: Appendix A of

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions)

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions) Chapter Number Systems (Solutions for Vol _Classroom Practice Questions). ns: (d) 5 x + 44 x = x ( x + x + 5 x )+( x +4 x + 4 x ) = x + x + x x +x+5+x +4x+4 = x + x + x 5x 6 = (x6) (x+ ) = (ase cannot

More information

Lecture 10 Filtering: Applied Concepts

Lecture 10 Filtering: Applied Concepts Lecture Filtering: Applied Concept In the previou two lecture, you have learned about finite-impule-repone (FIR) and infinite-impule-repone (IIR) filter. In thee lecture, we introduced the concept of filtering

More information

Review for Final Exam

Review for Final Exam CSE140: Components and Design Techniques for Digital Systems Review for Final Exam Mohsen Imani CAPE Please submit your evaluations!!!! RTL design Use the RTL design process to design a system that has

More information

UNIT 8A Computer Circuitry: Layers of Abstraction. Boolean Logic & Truth Tables

UNIT 8A Computer Circuitry: Layers of Abstraction. Boolean Logic & Truth Tables UNIT 8 Computer Circuitry: Layers of bstraction 1 oolean Logic & Truth Tables Computer circuitry works based on oolean logic: operations on true (1) and false (0) values. ( ND ) (Ruby: && ) 0 0 0 0 0 1

More information

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures Chapter Additional Design Examples Verilog HDL:Digital Design and Modeling Chapter Additional Design Examples Additional Figures Chapter Additional Design Examples 2 Page 62 a b y y 2 y 3 c d e f Figure

More information

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE Digital Design Friday 21/ Written exam with solutions IE204-5 Digital Design Friday 2/0 206 09.00-3.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandvist tel 08-7904487, Elena Dubrova phone 08-790 4 4 Exam

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page 1 of 13 COE 202: Digital Logic Design (3-0-3) Term 112 (Spring 2012) Final

More information

Digital Control System

Digital Control System Digital Control Sytem Summary # he -tranform play an important role in digital control and dicrete ignal proceing. he -tranform i defined a F () f(k) k () A. Example Conider the following equence: f(k)

More information

CSC 322: Computer Organization Lab

CSC 322: Computer Organization Lab CSC 322: Computer Organization Lab Lecture 3: Logic Design Dr. Haidar M. Harmanani CSC 322: Computer Organization Lab Part I: Combinational Logic Dr. Haidar M. Harmanani Logical Design of Digital Systems

More information

EECS150. Arithmetic Circuits

EECS150. Arithmetic Circuits EE5 ection 8 Arithmetic ircuits Fall 2 Arithmetic ircuits Excellent Examples of ombinational Logic Design Time vs. pace Trade-offs Doing things fast may require more logic and thus more space Example:

More information

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring - Principles of Computer rchitecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring -2 Chapter Contents. Introduction.2 Combinational Logic.3 Truth Tables.4 Logic Gates.5 Properties

More information

Combinatorial RTL Components

Combinatorial RTL Components Principles Of Digital Design Combinatorial RTL Components Computation and Reorganization Arithmetic and Comparison Components Logic Components election Components ncoding/decoding Components Bit manipulation

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

Q: Examine the relationship between X and the Next state. How would you describe this circuit? A: An inverter which is synched with a clock signal.

Q: Examine the relationship between X and the Next state. How would you describe this circuit? A: An inverter which is synched with a clock signal. /2/2 OF 7 Next, let s reverse engineer a T-Flip flop Prob. (Pg 529) Note that whenever T is equal to, there is a state change, otherwise, there isn t. In this circuit, (x) determines whether the output

More information

Name: ID# a) Complete the state transition table for the aforementioned circuit

Name:   ID# a) Complete the state transition table for the aforementioned circuit UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences EECS150 Fall 2001 Prof. Subramanian Final Examination 1) You are to design a sequential circuit with two JK FFs A and

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/55 2012/6/11 Textbook: Digital Design, 4 th. Edition M. Morris Mano and Michael D. Ciletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter

More information

EECS 270 Midterm Exam 2 Fall 2009

EECS 270 Midterm Exam 2 Fall 2009 EECS 270 Midterm Exam 2 Fall 2009 Name: unique name: UMID: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1&2

More information

Lecture 6: Resonance II. Announcements

Lecture 6: Resonance II. Announcements EES 5 Spring 4, Lecture 6 Lecture 6: Reonance II EES 5 Spring 4, Lecture 6 Announcement The lab tart thi week You mut how up for lab to tay enrolled in the coure. The firt lab i available on the web ite,

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks ECE 545 Digital System Design with VHDL Lecture Digital Logic Refresher Part A Combinational Logic Building Blocks Lecture Roadmap Combinational Logic Basic Logic Review Basic Gates De Morgan s Law Combinational

More information

CARLETON UNIVERSITY Final EXAMINATION Wed, April 26, 2006, 14:00

CARLETON UNIVERSITY Final EXAMINATION Wed, April 26, 2006, 14:00 RLTO UIVRSITY Final ITIO We, pril 26, 26, 4: ame: umber: Signature: URTIO: 3 HOURS o. of Stuent: 29 epartment ame & oure umber: lectronic L 267, an oure Intructor() T.G.Ray an J. Knight UTHORIZ OR TUR

More information

Chapter 2. Review of Digital Systems Design

Chapter 2. Review of Digital Systems Design x 2-4 = 42.625. Chapter 2 Review of Digital Systems Design Numbering Systems Decimal number may be expressed as powers of 10. For example, consider a six digit decimal number 987654, which can be represented

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals CHAPTER 8 Counters Slide 2 Counting

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS150 - Digital Design Lecture 18 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS150 - Lec18-counters Page 1 Counters Special sequential circuits (FSMs) that sequence though a set outputs.

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS50 - Digital Design Lecture 8 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS50 - Lec8-counters Page Counters Special sequential circuits (FSMs) that sequence though a set outputs. Examples:

More information

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER LABORATORY MANUAL S u b j e c t : MICROPROCESSOR AND MICROCONTROLLER TE (E lectr onics) ( S e m V ) 1 I n d e x Serial No T i tl e P a g e N o M i c r o p r o c e s s o r 8 0 8 5 1 8 Bit Addition by Direct

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

CPE100: Digital Logic Design I

CPE100: Digital Logic Design I Professor Brendan Morris, SEB 3216, brendan.morris@unlv.edu CPE100: Digital Logic Design I Final Review http://www.ee.unlv.edu/~b1morris/cpe100/ 2 Logistics Tuesday Dec 12 th 13:00-15:00 (1-3pm) 2 hour

More information

EE201l Homework # 6. Microprogrammed Control Unit Design

EE201l Homework # 6. Microprogrammed Control Unit Design EE201l Homework # 6 Microprogrammed ontrol Unit Design Instructor: G. Puvvada 1. Refer to the design of the microprogrammed control unit for the change dispenser discussed in your class notes Assume that

More information

EECS150 - Digital Design Lecture 16 Counters. Announcements

EECS150 - Digital Design Lecture 16 Counters. Announcements EECS150 - Digital Design Lecture 16 Counters October 20, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150 Fall 2011

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 9. Datapath Design Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 2, 2017 ECE Department, University of Texas at Austin

More information

EE 209 Logic Cumulative Exam Name:

EE 209 Logic Cumulative Exam Name: EE 209 Logic Cumulative Exam Name: 1.) Answer the following questions as True or False a.) A 4-to-1 multiplexer requires at least 4 select lines: true / false b.) An 8-to-1 mux and no other logi can be

More information

2.7.2 Limits to Parallelism

2.7.2 Limits to Parallelism Chapter 2 Exercie 53 The 1990 will find a broader ue of multiproceor a the peed of individual proceor reache the limit of metal interconnection. The highet utainable clock rate for metal interconnection

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be...

Arithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... rithmetic Circuits Didn t I learn how to do addition in the second grade? UNC courses aren t what they used to be... + Finally; time to build some serious functional blocks We ll need a lot of boxes The

More information

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) March 19&21, 2002 John Wawrzynek Spring 2002 EECS150 - Lec13-seq3 version 2 Page 1 Counters Special sequential circuits (FSMs) that

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

Layout of 7400-series Chips Commonly Used in. CDA 3101: Introduction to Computer Hardware and Organization

Layout of 7400-series Chips Commonly Used in. CDA 3101: Introduction to Computer Hardware and Organization Layout of 400-series Chips Commonly Used in CDA 30: Introduction to Computer Hardware and Organization Charles N. Winton Department of Computer and Information Sciences University of North Florida 999

More information

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Friday 13/ Written eam with solutions IE204/5 Digital Design Friday / 207 08.00-2.00 General Information Eaminer: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani

More information

Total Time = 90 Minutes, Total Marks = 50. Total /50 /10 /18

Total Time = 90 Minutes, Total Marks = 50. Total /50 /10 /18 University of Waterloo Department of Electrical & Computer Engineering E&CE 223 Digital Circuits and Systems Midterm Examination Instructor: M. Sachdev October 23rd, 2007 Total Time = 90 Minutes, Total

More information

Combinational Logic Design Arithmetic Functions and Circuits

Combinational Logic Design Arithmetic Functions and Circuits Combinational Logic Design Arithmetic Functions and Circuits Overview Binary Addition Half Adder Full Adder Ripple Carry Adder Carry Look-ahead Adder Binary Subtraction Binary Subtractor Binary Adder-Subtractor

More information

S_LOOP: SINGLE-LOOP FEEDBACK CONTROL SYSTEM ANALYSIS

S_LOOP: SINGLE-LOOP FEEDBACK CONTROL SYSTEM ANALYSIS S_LOOP: SINGLE-LOOP FEEDBACK CONTROL SYSTEM ANALYSIS by Michelle Gretzinger, Daniel Zyngier and Thoma Marlin INTRODUCTION One of the challenge to the engineer learning proce control i relating theoretical

More information

EECS150 - Digital Design Lecture 25 Shifters and Counters. Recap

EECS150 - Digital Design Lecture 25 Shifters and Counters. Recap EECS150 - Digital Design Lecture 25 Shifters and Counters Nov. 21, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates ECE 250 / CPS 250 Computer Architecture Basics of Logic Design Boolean Algebra, Logic Gates Benjamin Lee Slides based on those from Andrew Hilton (Duke), Alvy Lebeck (Duke) Benjamin Lee (Duke), and Amir

More information

ECE/CS 250 Computer Architecture

ECE/CS 250 Computer Architecture ECE/CS 250 Computer Architecture Basics of Logic Design: Boolean Algebra, Logic Gates (Combinational Logic) Tyler Bletsch Duke University Slides are derived from work by Daniel J. Sorin (Duke), Alvy Lebeck

More information

Advanced Digital Signal Processing. Stationary/nonstationary signals. Time-Frequency Analysis... Some nonstationary signals. Time-Frequency Analysis

Advanced Digital Signal Processing. Stationary/nonstationary signals. Time-Frequency Analysis... Some nonstationary signals. Time-Frequency Analysis Advanced Digital ignal Proceing Prof. Nizamettin AYDIN naydin@yildiz.edu.tr Time-Frequency Analyi http://www.yildiz.edu.tr/~naydin 2 tationary/nontationary ignal Time-Frequency Analyi Fourier Tranform

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

Arithmetic Circuits How to add and subtract using combinational logic Setting flags Adding faster

Arithmetic Circuits How to add and subtract using combinational logic Setting flags Adding faster rithmetic Circuits Didn t I learn how to do addition in second grade? UNC courses aren t what they used to be... 01011 +00101 10000 Finally; time to build some serious functional blocks We ll need a lot

More information

EECS150 - Digital Design Lecture 21 - Design Blocks

EECS150 - Digital Design Lecture 21 - Design Blocks EECS150 - Digital Design Lecture 21 - Design Blocks April 3, 2012 John Wawrzynek Spring 2012 EECS150 - Lec21-db3 Page 1 Fixed Shifters / Rotators fixed shifters hardwire the shift amount into the circuit.

More information

Fundamentals of Computer Systems

Fundamentals of Computer Systems Fundamentals of Computer Systems Review for the Final Stephen A. Edwards Columbia University Summer 25 The Final 2 hours 8 problems Closed book Simple calculators are OK, but unnecessary One double-sided

More information

ME 375 FINAL EXAM Wednesday, May 6, 2009

ME 375 FINAL EXAM Wednesday, May 6, 2009 ME 375 FINAL EXAM Wedneday, May 6, 9 Diviion Meckl :3 / Adam :3 (circle one) Name_ Intruction () Thi i a cloed book examination, but you are allowed three ingle-ided 8.5 crib heet. A calculator i NOT allowed.

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 9 Sections 9-1 thru 9-5 2009 Pearson Education, Upper 2008 Pearson Saddle River, Education NJ 07458. All Rights Reserved ET285 Agenda Week 2 Quiz 0: Covered

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each) Subject Code: 17333 Model Answer Page 1/ 27 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Digital Circuits ECS 371

Digital Circuits ECS 371 Digital Circuits ECS 371 Dr. Prapun Suksompong prapun@siit.tu.ac.th Lecture 18 Office Hours: BKD 3601-7 Monday 9:00-10:30, 1:30-3:30 Tuesday 10:30-11:30 1 Announcement Reading Assignment: Chapter 7: 7-1,

More information

CSE140: Design of Sequential Logic

CSE140: Design of Sequential Logic CSE4: Design of Sequential Logic Instructor: Mohsen Imani Flip Flops 2 Counter 3 Up counter 4 Up counter 5 FSM with JK-Flip Flop 6 State Table 7 State Table 8 Circuit Minimization 9 Circuit Timing Constraints

More information

Adder Circuits Ivor Page 1

Adder Circuits Ivor Page 1 Adder Circuit Adder Circuit Ivor Page 4. The Ripple Carr Adder The ripple carr adder i probabl the implet parallel binar adder. It i made up of k full-adder tage, where each full-adder can be convenientl

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Digital logic circuits BINARY NUMBER SYSTEM electronic circuits that handle information encoded in binary form (deal with signals that have only two values, and ) Digital. computers,

More information

SIMON FRASER UNIVERSITY School of Engineering Science ENSC 320 Electric Circuits II. R 4 := 100 kohm

SIMON FRASER UNIVERSITY School of Engineering Science ENSC 320 Electric Circuits II. R 4 := 100 kohm SIMON FRASER UNIVERSITY School of Engineering Science ENSC 320 Electric Circuit II Solution to Aignment 3 February 2003. Cacaded Op Amp [DC&L, problem 4.29] An ideal op amp ha an output impedance of zero,

More information

Massachusetts Institute of Technology Dynamics and Control II

Massachusetts Institute of Technology Dynamics and Control II I E Maachuett Intitute of Technology Department of Mechanical Engineering 2.004 Dynamic and Control II Laboratory Seion 5: Elimination of Steady-State Error Uing Integral Control Action 1 Laboratory Objective:

More information

Enrico Nardelli Logic Circuits and Computer Architecture

Enrico Nardelli Logic Circuits and Computer Architecture Enrico Nardelli Logic Circuits and Computer Architecture Appendix B The design of VS0: a very simple CPU Rev. 1.4 (2009-10) by Enrico Nardelli B - 1 Instruction set Just 4 instructions LOAD M - Copy into

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page of COE 22: Digital Logic Design (3--3) Term (Fall 22) Final Exam Sunday January

More information