Achieving Tight sigmas in Bit Patterned Media

Size: px
Start display at page:

Download "Achieving Tight sigmas in Bit Patterned Media"

Transcription

1 Achieving Tight sigmas in Bit Patterned Media Dieter Weller Chief Technologist Seagate Technology Diskcon 2008, September 18, Santa Clara Acknowledgement 1 Tbit/in 2 patterned dots Team Seagate

2 Areal Density Progress (HDD) Commercial products: ~400 Gbits/in 2, 500 GB/2.5 Platter Demonstrations: ~ 610 Gbit/in 2 Research frontier: 1 10 Tbits/in 2 Areal Density (Gbit/in 2 ) E-3 1E-4 1E-5 1 Tbit/in Gbit/in 2 1 Gbit/in 2 1 Mbit/in 2 2kbits/in 2 MR Head Thin Film Disk PRML Channel ~ 90 GMR 10 Head years, Products, Lab Demos 40%?? 1E Date (year) ~100% Dieter Weller, Sept 18, 2008 Page 2 97 TMR Head 04 PMR Rec. 06 ~10 8 increase Technology Options: Longitudinal Perpendicular Discrete Track Discrete Bit Heat Assist

3 Why Bit Patterned Media (BPM)? BPM overcomes thermal stability/writeability limitations by maintaining large bit volume rather than by increasing the anisotropy and write field Modeling suggests excellent extendibility of up to 10 Tbpsi with new ECC media designs; need tight physical and magnetic sigmas (~5%) PMR Head technology is expected to be scalable Servo & Data are patterned at the same time Achieving Tight sigmas in BPM Dieter Weller, Sept 18, 2008 Page 3 3

4 Main Challenges Recording on Individual Lithographically predefined Islands Areal density Bit size Pitch size 1 Td/in nm 25 nm 10 Td/in 2 will not provide a 4 nm 8 nm lithography solution in Challenges: Achieving Lithography at target density Control of position, size and magnetic sigmas Synchronizing Write Head to predefined magnetic islands Low Cost Manufacturing 66 grains 1 island PMR BPM The semiconductor industry time for patterned media 245 Gbpsi; BAR~6.4 Achieving Tight sigmas in BPM Dieter Weller, Sept 18, 2008 Page 4

5 ITRS Roadmap 2007 DRAM Half-pitch Flash Half-pitch BPM timeframe Achieving Tight sigmas in BPM Dieter Weller, Sept 18, 2008 Page 5

6 1 Tb/in 2 staggered media design TP=50.8nm 25.4nm BL=12.7nm Staggering allows BAR>1 BAR=4 for above case! Rubin and B. D. Terris, US patent US B2 (2005); H. J. Rechter, A. Y. Dobin, and D. K. Weller, US patent US A1 (2007); Dieter Weller, Sept 18, 2008 Page 6 Dot spacing (nm) 25.4 Bit Length (nm) 12.7 Track pitch (nm) 50.8 Duty cycle 50% D (nm) 12.7 Thickness (nm) 16 H k (koe) 4.6 Interlayer (nm) 6 M s (emu/cc) 1000 σ Hk /H k 5% σ position /BL 5% σt iming /BL 5% σ D /D 5% geometry optimized fixed H.J. Richter, A. Dobin et al. Appl. Phys. Lett. 88, (2006)

7 Patterned Media Processes 1. Mastering Rotating ebeam Lithography Assisted Self Assembly EUV Interferometry? 2. Template Family Quartz for UV-imprint Ni or Si for thermal imprint 3. Nanoimprint Lithography (NIL) UV Cure Thermal High Pressure Other 4. Magnetic Island Formation Etch Substrate & Deposit media Ion Mill Media, Plate 5. Planarization Sputter Fill and Etch Back CMP 6. Metrology Specific to process control Specific to identifying defects Cost stamp Hard mask Magnetic Ru stack Flyability Resolution Throughput Verification Dieter 7 Weller, Sept 18, 2008 Page 7

8 Mastering: Electron-Beam Writer with Rotary Stage Formatter: The Formatter generates tracks and servo patterns Beam blanking and deflection is synchronized to the rotating stage Down track Blanking Deflection with Vendor E-Beam Column: Small beam spot High beam current Rotating, r-θ Stage: rpm tracked by optical encoder Linear translation in one radial direction tracked by laser interferometer Servo BPM Discrete Tracks or patterned Bits Page 8

9 Mastering: Electron-Beam Writer with Rotary Stage Lifted off Cr Dots 450 Gd/in 2 Overview 9 Page 9

10 30 nm-thick HSQ using VB6 HR xy 100kV Pitch= 30 nm (717Gd) Pitch= 24 nm (1.1Td) Pitch= 21 nm (1.5Td) Pitch= 18 nm (2.0Td) Dieter Weller, Sept 18, 2008 Page 10

11 Dot Size Uniformity and Placement Accuracy 8 7 ZEP Resist 8 7 HSQ Resist 6 6 Sigma (%) Pitch (nm) Interaixs Distance Sigma Interdots Distance Sigma Dots Size Sigma Sigma (%) Pitch (nm) Interaixs Distance Sigma Interdots Distance Sigma Dots Size Sigma Pitch (nm) Interaxis Distance Sigma (%) Interdots Distance Sigma (%) Dots Size Sigma (%) Pitch (nm) Interaxis Distance Sigma (%) Interdots Distance Sigma (%) Dots Size Sigma (%) % sigmas in pitch and size at Tb/in 2 dot densities are possible today with x-y e-beam lithography! Dieter Weller, Sept 18, 2008 Page 11

12 Beyond 1Tdpsi BPM Combining e-beam Lithography with Self-Assembly E-beam: pitch DBC: if ½ pitch 2x2=4x gain in AD if 1/3 pitch 3x3=9x if ¼ pitch 4x4=16x Release e-beam lithography pressure 2:1 3:1 Self-Assembling Resists - Thermodynamic Processes 4:1 5:1 Improve dots size sigma Enhance pitch resolution up to a factor of 4 at least!! Chemical pattern as guide to direct polymer self-assembly Dieter Weller, Sept 18, 2008 Page 12

13 1.3 Tdot/in 2 Epitaxial Assembled Dot Over Large Area (Ebeam pattern period: Copolymer period = 3:1) Dieter Weller, Sept 18, 2008 Page 13 Shuaigang Xiao & XiaoMin Yang

14 Pattern Densification and Rectification Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, Ricardo Ruiz, 1* Huiman Kang, 2 François A. Detcheverry, 2 Elizabeth Dobisz, 1 Dan S. Kercher, 1 Thomas R. Albrecht, 1 Juan J. de Pablo,2 Paul F. Nealey 2*, Hitachi GST and U Wisconsin 15 AUGUST 2008 VOL 321 SCIENCE Figure 2 from Ruiz et al. Increased emphasis on self assembly methods to mitigate e- beam hardware limitations Dieter Weller, Sept 18, 2008 Page 14

15 Nanoimprint Lithography (NIL) Cross-section of Imprinted Resist 250 Gdpsi NIL is a viable solution for low cost, mass production of BPM media Molecular Imprints UV Cure Process Other suppliers: EVG, Obducat, Toshiba. Dieter Weller, Sept 18, 2008 Page 15

16 Nanoimprint and Templates at 1 Teradot/in 2 The established ZEP/Cr lift-off process has demonstrated template fabrication at bit density of 1.1 Tdot/in 2 (24 nm pitch) using VB6 E-beam 500 Gd/in 2 imprinted resist 100 x 100 um 2 1 Td/in 2 6 Quartz BPM Template 1.1 Td/in 2 template 1 Tdot/in 2 Dieter Weller, Sept 18, 2008 Page 16

17 media after nanoimprint (NIL) resist Mask Mag layer Resist descum/mask open Media Patterning Subtractive Methods (example to the left): IBE (Ion Beam Etch) RIBE (Reactive Ion Beam Etch) Pattern transfer Mask strip 25 nm etched dots on 50 nm pitch Trench fill Planarization Carbon/lube/buff/glide Additive Methods: Substrate Patterning Plating TDK Process: Y. Soeno et al. IEEE TRANSACTIONS ON MAGNETICS, VOL. 39, NO. 4, JULY 2003 Dieter Weller, Sept 18, 2008 Page nm plated dots on 50 nm pitch 17

18 Materials Options Anisotropy Field, H K,I 5-10 koe Media thickness, δ nm Saturation Magnetization, M s ka/m Carbon [0002] IL SUL Interlayer Amorphous SUL CoX alloys Co/X (Pd,Pt) multilayers ECC type composite media for higher densities Achieving Tight sigmas in BPM Dieter Weller, Sept 18, 2008 Page 18

19 Magnetic Results for 250 & 500 Gdpsi IBE CoX 250 Gdpsi flyable disk 500 Gdpsi coupon SFD = 5% Unpatterned Patterned 0.0 Im(χ T ) -0.2 σ HK =4.5% -0.4 Data Fit: σ(h K )/<H K > ~ 4.5% H in-plane (koe) Size sigma = 4.8% Dieter Weller, Sept 18, 2008 Page 19

20 Summary Modeling of BPM suggest that 5-10 Tdpsi Recording is possible if tight sigmas (<5%) can be achieved Rotating stage ebeam combined with BCP assisted assembly rectification and multiplication has the potential to get us to ultimate dot densities Tight physical and magnetic sigmas appear to be possible at lower densities Forming magnetic islands with <5% magnetic sigmas and surface control (planarization) will be the key challenges moving forward Dieter Weller, Sept 18, 2008 Page 20

21 Thank you! Many steep challenges Achieving Tight sigmas in BPM Dieter Weller, Sept 18, 2008 Page 21

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

Anisotropy Distributions in Patterned Magnetic Media

Anisotropy Distributions in Patterned Magnetic Media MINT Review & Workshop 24-25 Oct. 2006 Anisotropy Distributions in Patterned Magnetic Media Tom Thomson Hitachi San Jose Research Center Page 1 Acknowledgements Manfred Albrecht (Post-doc) Tom Albrecht

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

01 Development of Hard Disk Drives

01 Development of Hard Disk Drives 01 Development of Hard Disk Drives Design Write / read operation MR / GMR heads Longitudinal / perpendicular recording Recording media Bit size Areal density Tri-lemma 11:00 10/February/2016 Wednesday

More information

Future Magnetic Recording Technologies

Future Magnetic Recording Technologies Future Magnetic Recording Technologies Seagate Research Areal Density Perspective Max. Areal Density (Gbit/in 2 ) 10000 1000 100 10 1 0.1 1 Tbit/in 2 LABORATORY DEMOS Products Historical 60% CGR line 1990

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Acknowledgements. Presentation Title Date 2

Acknowledgements. Presentation Title Date 2 Extensions of Perpendicular Recording Olle Heinonen and Kaizhong Gao Recording Head Operations Seagate Technology Acknowledgements We gratefully acknowledge contributions and learning from Mark Kief, Robert

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Introduction to magnetic recording + recording materials

Introduction to magnetic recording + recording materials Introduction to magnetic recording + recording materials Laurent Ranno Institut Néel, Nanoscience Dept, CNRS-UJF, Grenoble, France I will give two lectures about magnetic recording. In the first one, I

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Perpendicular Magnetic Recording. Dmitri Litvinov and Sakhrat Khizroev Seagate Research

Perpendicular Magnetic Recording. Dmitri Litvinov and Sakhrat Khizroev Seagate Research Perpendicular Magnetic Recording Dmitri Litvinov and Sakhrat Khizroev Seagate Research Acknowledgments Leon Abelmann (U Twente) James Bain (CMU) Chunghee Chang Roy Chantrell Roy Gustafson Kent Howard Earl

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

ECC Media Technology. 1. Introduction. 2. ECC Media. Shunji Takenoiri TuQiang Li Yoshiyuki Kuboki

ECC Media Technology. 1. Introduction. 2. ECC Media. Shunji Takenoiri TuQiang Li Yoshiyuki Kuboki ECC Media Technology Shunji Takenoiri TuQiang Li Yoshiyuki Kuboki 1. Introduction Two years have already elapsed since Fuji Electric began mass-producing perpendicular magnetic recording media, and now

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Breaking the thermally induced write error in heat assisted recording by using low and high Tc materials

Breaking the thermally induced write error in heat assisted recording by using low and high Tc materials Breaking the thermally induced write error in heat assisted recording by using low and high Tc materials D. Suess, Vienna University of Technology, Wiedner Hauptstrasse 8-10, 1040 Vienna, Austria. T. Schrefl

More information

2D Coding and Iterative Detection Schemes

2D Coding and Iterative Detection Schemes 2D Coding and Iterative Detection Schemes J. A. O Sullivan, N. Singla, Y. Wu, and R. S. Indeck Washington University Magnetics and Information Science Center Nanoimprinting and Switching of Patterned Media

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

Exchange Coupled Composite Media for Perpendicular Magnetic Recording

Exchange Coupled Composite Media for Perpendicular Magnetic Recording BB-01 1 Exchange Coupled Composite Media for Perpendicular Magnetic Recording R. H. Victora, Fellow, IEEE, X. Shen Abstract Exchange coupled composite (ECC) media has been shown to possess several major

More information

Magnetic Recording. by Gaspare Varvaro. Istituto di Struttura della Materia CNR Nanostructured Magnetic Materials Group

Magnetic Recording. by Gaspare Varvaro. Istituto di Struttura della Materia CNR Nanostructured Magnetic Materials Group Magnetic Recording by Gaspare Varvaro Istituto di Struttura della Materia CNR Nanostructured Magnetic Materials Group Outline Brief History of Magnetic Recording Hard Disk Drives General Aspects (Longitudinal

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Engineered Excellence A Journal for Process and Device Engineers Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications Introduction Fabrication

More information

Scanning Probe Microscopy. L. J. Heyderman

Scanning Probe Microscopy. L. J. Heyderman 1 Scanning Probe Microscopy 2 Scanning Probe Microscopy If an atom was as large as a ping-pong ball......the tip would have the size of the Matterhorn! 3 Magnetic Force Microscopy Stray field interaction

More information

Ognjan Tzarnoretchki. Introduction

Ognjan Tzarnoretchki. Introduction BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 6, No 1 Sofia 2006 Coefficients of the Evolution Potentials of the Informative Features Linear, Track and Areal Density of

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Nano Engineering & Storage Technology

Nano Engineering & Storage Technology Nano Engineering & Storage Technology Group Research Presentation Tom Thomson Nano Engineering & Storage Technology Group School of Computer Science University of Manchester http://nest.cs.manchester.ac.uk/

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform

Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Perpendicular MTJ stack development for STT MRAM on Endura PVD platform Mahendra Pakala, Silicon Systems Group, AMAT Dec 16 th, 2014 AVS 2014 *All data in presentation is internal Applied generated data

More information

GMR Read head. Eric Fullerton ECE, CMRR. Introduction to recording Basic GMR sensor Next generation heads TMR, CPP-GMR UCT) Challenges ATE

GMR Read head. Eric Fullerton ECE, CMRR. Introduction to recording Basic GMR sensor Next generation heads TMR, CPP-GMR UCT) Challenges ATE GMR Read head Eric Fullerton ECE, CMRR Introduction to recording Basic GMR sensor Next generation heads TMR, CPP-GMR UCT) Challenges ATE 1 Product scaling 5 Mbyte 100 Gbyte mobile drive 8 Gbyte UCT) ATE

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Antiferromagnetically coupled capped bit patterned media: Writability, switching field distributions, and readback regulation

Antiferromagnetically coupled capped bit patterned media: Writability, switching field distributions, and readback regulation Antiferromagnetically coupled capped bit patterned media: Writability, switching field distributions, and readback regulation Marko V. Lubarda, 1 Shaojing Li, 1 Boris Livshitz, 2 Eric E. Fullerton, 1 Vitaliy

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

MSE 7025 Magnetic Materials (and Spintronics)

MSE 7025 Magnetic Materials (and Spintronics) MSE 7025 Magnetic Materials (and Spintronics) Lecture 1: Introduction Chi-Feng Pai cfpai@ntu.edu.tw Course Outline Magnetism and Magnetic Materials What is magnetism? What is its origin? Magnetic properties

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Nano fabrication and optical characterization of nanostructures

Nano fabrication and optical characterization of nanostructures Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication and optical characterization of nanostructures Lecture 12 1 Optical characterization

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography

Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Sub-5 nm Patterning and Applications by Nanoimprint Lithography and Helium Ion Beam Lithography Yuanrui Li 1, Ahmed Abbas 1, Yuhan Yao 1, Yifei Wang 1, Wen-Di Li 2, Chongwu Zhou 1 and Wei Wu 1* 1 Department

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings IOP PUBLISHING Nanotechnology 20 (2009) 285310 (5pp) NANOTECHNOLOGY doi:10.1088/0957-4484/20/28/285310 The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation

More information

Nanofabrication Techniques. Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis

Nanofabrication Techniques. Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis Nanofabrication Techniques Dominique Mailly Laboratoire de Photonique et de Nanostructures Marcoussis Summary Introduction Optical Lithography X-ray lithography E-beam Lithography Ion beam Lithography

More information

The study for image placement repeatability of EUV mask on the flat chuck

The study for image placement repeatability of EUV mask on the flat chuck The study for image placement repeatability of EUV mask on the flat chuck Shusuke Yoshitake, Hitoshi Sunaoshi, Shuichi Tamamushi, Soichiro Mitsui 1, Munehiro Ogasawara 1, Takeyuki Yamada 2, Tsutomu Shoki

More information

Engineering Challenges in Quantum Dot Displays

Engineering Challenges in Quantum Dot Displays Engineering Challenges in Quantum Dot Displays Any great technology that pushes the boundaries of performance also has a set of challenges to overcome. Quantum dot displays are not an exception. Whether

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

the target and equalizer design for highdensity Bit-Patterned Media Recording

the target and equalizer design for highdensity Bit-Patterned Media Recording 128 ECTI TRANSACTIONS ON COMPUTER AND INFORMATION TECHNOLOGY VOL.6, NO.2 November 2012 Target and Equalizer Design for High-Density Bit-Patterned Media Recording Santi Koonkarnkhai 1, Phongsak Keeratiwintakorn

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies B. Özyilmaz a, G. Richter, N. Müsgens, M. Fraune, M. Hawraneck, B. Beschoten b, and G. Güntherodt Physikalisches

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

THE continuous increase in areal density and data rate in

THE continuous increase in areal density and data rate in IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 10, OCTOBER 2005 2839 Micromagnetic Simulation of Head-Field and Write Bubble Dynamics in Perpendicular Recording Werner Scholz, Member, IEEE, and Sharat Batra,

More information

Prospects for Magnetic Recording over the next 10 years

Prospects for Magnetic Recording over the next 10 years l o o k i n g i n t o t h e f u t u r e Prospects for Magnetic Recording over the next 10 years Roger Wood & Hisashi Takano Advanced Technology Hitachi GST 2004 Hitachi Global Storage Technologies Intermag

More information

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Towards nanoimprint lithography-aware layout design checking 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology Nanoimprint is the mechanical patterning of resist spun

More information

Thermally Assisted Magnetic Recording

Thermally Assisted Magnetic Recording Thermally Assisted Magnetic Recording V Koji Matsumoto V Akihiro Inomata V Shin-ya Hasegawa (Manuscript received June 30, 2005) Thermally assisted magnetic recording can solve fundamental problems concerning

More information

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch.

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch. Complex Nanostructures by Atomic Layer Deposition Kornelius Nielsch Institute of Applied Physics, University of Hamburg (Germany) knielsch@physnet.uni-hamburg.de Outline History and Principle Ferromagnetic

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

High speed focused ion (and electron) beam nanofabrication

High speed focused ion (and electron) beam nanofabrication High speed focused ion (and electron) beam nanofabrication John Melngailis, Department of Electrical and Computer Engineering and Institute for Research in Electronics and Applied Physics University of

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

MAGNETO-OPTIC KERR AND HALL EFFECT MEASUREMENTS FOR THE CHARACTERISATION OF BIT PATTERNED MEDIA

MAGNETO-OPTIC KERR AND HALL EFFECT MEASUREMENTS FOR THE CHARACTERISATION OF BIT PATTERNED MEDIA MAGNETO-OPTIC KERR AND HALL EFFECT MEASUREMENTS FOR THE CHARACTERISATION OF BIT PATTERNED MEDIA A thesis submitted to The University of Manchester for the degree of Doctor of Philosophy in the Faculty

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition

Fabrication-II. Electron Beam Lithography Pattern Design Thin Film Deposition Fabrication-II Electron Beam Lithography Pattern Design Thin Film Deposition By Charulata Barge, Graduate student, Prof. Zumbühl Group, Department of Physics, Universtity of Basel. Date:- 20th Oct. 2006

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Influence of Size on the Properties of Materials

Influence of Size on the Properties of Materials Influence of Size on the Properties of Materials M. J. O Shea Kansas State University mjoshea@phys.ksu.edu If you cannot get the papers connected to this work, please e-mail me for a copy 1. General Introduction

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Wouldn t it be great if

Wouldn t it be great if IDEMA DISKCON Asia-Pacific 2009 Spin Torque MRAM with Perpendicular Magnetisation: A Scalable Path for Ultra-high Density Non-volatile Memory Dr. Randall Law Data Storage Institute Agency for Science Technology

More information

Self-Assembled InAs Quantum Dots on Patterned InP Substrates

Self-Assembled InAs Quantum Dots on Patterned InP Substrates Self-Assembled InAs Quantum Dots on Patterned InP Substrates J. Lefebvre, P.J. Poole, J. Fraser, G.C. Aers, D. Chithrani, and R.L. Williams Institute for Microstructural Sciences, National Research Council

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

MRAM: Device Basics and Emerging Technologies

MRAM: Device Basics and Emerging Technologies MRAM: Device Basics and Emerging Technologies Matthew R. Pufall National Institute of Standards and Technology 325 Broadway, Boulder CO 80305-3337 Phone: +1-303-497-5206 FAX: +1-303-497-7364 E-mail: pufall@boulder.nist.gov

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Bringing mask repair to the next level

Bringing mask repair to the next level Bringing mask repair to the next level K. Edinger *, K. Wolff, H. Steigerwald, N. Auth, P. Spies, J. Oster, H. Schneider, M. Budach, T. Hofmann, M. Waiblinger Carl Zeiss SMS GmbH - Industriestraße 1, 64380

More information

Imprinting domain/spin configurations in antiferromagnets. A way to tailor hysteresis loops in ferromagnetic-antiferromagnetic systems

Imprinting domain/spin configurations in antiferromagnets. A way to tailor hysteresis loops in ferromagnetic-antiferromagnetic systems Imprinting domain/spin configurations in antiferromagnets A way to tailor hysteresis loops in ferromagnetic-antiferromagnetic systems Dr. J. Sort Institució Catalana de Recerca i Estudis Avançats (ICREA)

More information

Fundamental of HDD Technology (1)

Fundamental of HDD Technology (1) Course Information Fundamental of HDD Technology (1) Data Storage Technology Research Unit Nakhon Pathom Rajabhat University Assistant Prof. Piya Kovintavewat, Ph.D. E-mail: piya@npru.ac.th URL: http://home.npru.ac.th/piya

More information

Planar Hall Effect in Magnetite (100) Films

Planar Hall Effect in Magnetite (100) Films Planar Hall Effect in Magnetite (100) Films Xuesong Jin, Rafael Ramos*, Y. Zhou, C. McEvoy and I.V. Shvets SFI Nanoscience Laboratories, School of Physics, Trinity College Dublin, Dublin 2, Ireland 1 Abstract.

More information

S. Mangin 1, Y. Henry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5

S. Mangin 1, Y. Henry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5 Spin transfer torques in high anisotropy magnetic nanostructures S. Mangin 1, Y. enry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5 1) Laboratoire de Physique des

More information

Study of the areal density in the read heads with spin valves with nano-oxide-layer insertion

Study of the areal density in the read heads with spin valves with nano-oxide-layer insertion MATEC Web of Conferences 2, 040 (207) DOI: 0.05/ matecconf/2072040 Study of the areal density in the read heads with spin valves with nano-oxide-layer insertion Daniela Ionescu, * and Gabriela Apreotesei

More information

Graphene: Plane and Simple Electrical Metrology?

Graphene: Plane and Simple Electrical Metrology? Graphene: Plane and Simple Electrical Metrology? R. E. Elmquist, F. L. Hernandez-Marquez, M. Real, T. Shen, D. B. Newell, C. J. Jacob, and G. R. Jones, Jr. National Institute of Standards and Technology,

More information

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 551 Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Y. Y. Li, P. F. Gu, M. Y. Li,

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information