SEMATECH Knowledge Series 2010

Size: px
Start display at page:

Download "SEMATECH Knowledge Series 2010"

Transcription

1 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 WELCOME! Workshop Chairs Dr. William Hinsberg Patterning Materials Research IBM Almaden Research Center Lloyd C. Litt Alternative Lithography Program SEMATECH Albany

3 Workshop Goals/Purpose Identify applications in practical semiconductor fabrication that are potential first or early adopters of directed self-assembly (DSA) technology; Assess the benefits and drawbacks of DSA technology compared to other alternatives; Enumerate key DSA attributes that remain to be characterized in order to reliably assess its practical utility; Identify extensions of current DSA technology which, if available, would broaden its applicability and utility for second generation applications.

4 Block copolymer self-assembly Poly-A Poly-B Block copolymers in wide commercial use (e.g. adhesives, coatings) repulsion between dissimilar polymer chains drives microphase separation Pros : sublithographic patterns, high feature density, dimensions controlled by chemical synthesis Cons: limited pattern types, random orientation, poor long-range order where χ = interaction parameter even slightly unfavorable interaction causes phase separation

5 Two approaches to orientation control On topographic patterns : graphoepitaxy On surface patterns: chemical epitaxy neutral substrate surface neutral substrate surface selective surface modification neutral substrate surface Segalman et al, Adv. Mater., 13, 1152 (2001) Cheng et al, Appl. Phys. Lett., 81, 3657 (2002) Sundrani et al., Nano Lett., 4, 273 (2004) Rockford et al., Phys. Rev. Lett., 82, 2602 (1999) Kim et al., Nature, 424, 411 (2003)

6 BCP DSA on Topographical Patterns DSA Cross-bar Structures Guiding Lines PS-b-PEO/MSSQ Organosilicate DSA Subdividing the trench DSA on 193 nm resist W resist = 375nm, P SA =25nm 15X Subdivision DSA Via Shrink and rectification DSA

7 BCP DSA on Chemical Patterns: Spatial frequency multiplication 80 nm 193 nm litho to form guide pattern Apply BCP anneal and develop 193 nm resist: 100 nm pitch Neutralize Liftoff Form trim mask by 193 nm litho, And dry etch to substrate DSA Etch DSA: 25 nm pitch Strip 20 10

8

9

10 Meeting Highlights 65 participants 10 technical presentations Overview of DSA capabilities and limitations In-depth panel discussion on key issues with audience participation

11 Discussion Topic Graphoepitaxy versus Chemical epitaxy Graphoepitaxy: 193nm resist as guide structures demonstrated higher multiplication factors demonstrated uses device real estate guide structure LER telegraphed into DSA pattern Chemical epitaxy: better supports LER reduction/self-healing DSA pattern lies over guide pattern : no real estate cost Preferred approach likely to be application-specific

12 Some candidates for first practical application of DSA Lamellar patterns Cylindrical patterns DSA Multifingered devices (Nanowire arrays, FinFET) Via shrink/rectification Regularized patterns/gratings Bit-patterned media

13 Discussion Topic DSA Practical Applications Bit-patterned media is the current leading early app To fabricate a perfect imprint lithography master for disk patterning at whatever cost Significant differences from semiconductor applications but a technical learning opportunity Strong industry pull Potential high volume of disks but not DSA materials: development not economically supportable Pattern rectification/repair, multifingered devices DFM potential to customize device for DSA Still seeking greater input from device community on this

14 DSA Technical Study Topic Solvent vapor annealing (vs thermal annealing process) Improves long range order Non-equilibrium and currently slow Not well understood Surface layers, cylindrical forms etch issue? Different sensitivities to topography? Self-assembled lines Pattern transfer Solvent-vapor annealed PS-PDMS (cylindrical domains) Tungsten lines vs 1 μm, 57 lines Pitch ~ 17.5 nm Linewidth ~ 8 nm 8 nm thermally annealed PS-PMMA (lamellar domains) Jung et al, Nano Letters. 10, p.1000 (2010)

15 DSA Technical Study Topic Synergy of Modeling/Simulation and Experiment Materials set evaluated to date is small Engineering of materials structure has enormous leverage New materials are being prepared; synthesis and characterization is time-consuming Systematic material assessment by computational chemistry to guide experiment and reduce parameter space Experimental validation of predictions Study solvent anneal mechanisms with coarser-grained models Effect of polydispersity

16 DSA Technical Study Topic Detailed metrology of microdomains profiles can vary depending on state of surfaces, guide pattern Not readily detected by plan-view SEM or AFM analysis Can influence dimensions, LER, utility as etch mask Metrology of cross-sectioned polymeric (soft) features with ~10 nm CD and 2-3 nm fine structure is challenging Another opportunity for modeling/experiment synergy PS profile in PS-PMMA lamellar structure derived from fitting of SAXS data (Wu et al) Calculated cross-sectional profile in PS-PMMA cylindrical structure with varying surface interaction (Liu et al)

17 DSA Development Directions Defectivity demonstration in clean fab needed Defect metrology capability for DSA structures Current systems not capable: sensitivity, speed DSA-specific defects are missed by automated analysis, must be evaluated manually

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION A. Gharbi, R. Tiron, M. Argoud, P. Pimenta Barros, S. Bos, G. Chamiotmaitral, I. Servin, A. Fouquet, J. Hazart (CEA-LETI) X. Chevalier, C.

More information

The SMART Process for Directed Block Co-Polymer Self-Assembly

The SMART Process for Directed Block Co-Polymer Self-Assembly Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) 573 579 2013SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Anisotropy Distributions in Patterned Magnetic Media

Anisotropy Distributions in Patterned Magnetic Media MINT Review & Workshop 24-25 Oct. 2006 Anisotropy Distributions in Patterned Magnetic Media Tom Thomson Hitachi San Jose Research Center Page 1 Acknowledgements Manfred Albrecht (Post-doc) Tom Albrecht

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications

Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Deposition of Multilayer Fibers and Beads by Near-Field Electrospinning for Texturing and 3D Printing Applications Nicolas Martinez-Prieto, Jian Cao, and Kornel Ehmann Northwestern University SmartManufacturingSeries.com

More information

Kim, Sang Ouk

Kim, Sang Ouk Directed Block Copolymer Assembly Integrated with Conventional ArF or I-line lithography Kim, ang Ouk (sangouk.kim@kaist.ac.kr) oft Nanomaterials Laboratory (http://snml.kaist.ac.kr) Department of Materials

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement)

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Su-Mi Hur Glenn H. Fredrickson Complex Fluids Design Consortium Annual Meeting

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes Multicolor Graphene Nanoribbon/Semiconductor Nanowire Heterojunction Light-Emitting Diodes Yu Ye, a Lin Gan, b Lun Dai, *a Hu Meng, a Feng Wei, a Yu Dai, a Zujin Shi, b Bin Yu, a Xuefeng Guo, b and Guogang

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

2 Current status of the project

2 Current status of the project 1 Background The current research project started in September 2015 and its objective was to prepare and characterizise supramolecular block copolymer thin lms. Special interest was to study whether it

More information

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Selective Processes: Challenges and Opportunities in Semiconductor Scaling Selective Processes: Challenges and Opportunities in Semiconductor Scaling June 4, 2018 Kandabara Tapily TEL Technology Center, America, LLC IITC 2018 Selective Deposition Workshop K. Tapily/ IITC 2018

More information

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations 8290 Macromolecules 2010, 43, 8290 8295 DOI: 10.1021/ma101360f Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations Rafal A. Mickiewicz,, Joel K.

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

Supporting Information

Supporting Information Supporting Information Topographically-Designed Triboelectric Nanogenerator via Block Copolymer Self- Assembly Chang Kyu Jeong,, Kwang Min Baek,, Simiao Niu, Tae Won Nam, Yoon Hyung Hur, Dae Yong Park,

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays Abstract #: 983 Program # MI+NS+TuA9 Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays D. A. Tulchinsky, M. H. Kelley, J. J. McClelland, R. Gupta, R. J. Celotta National Institute of Standards

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns By Joona Bang, Unyong Jeong, Du Yeol Ryu, Thomas P. Russell,* and Craig J. Hawker* The self-asembly of block

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

Organic Electronic Devices

Organic Electronic Devices Organic Electronic Devices Week 1: Semiconductor Synthesis and Characterization Lecture 1.2: Synthesis of Poly(3-alkylthiophenes) (P3ATs) Bryan W. Boudouris Chemical Engineering Purdue University 1 Lecture

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

NanoImprint Materials. March, IBM Almaden Research Center

NanoImprint Materials. March, IBM Almaden Research Center NanoImprint Materials Ratnam Sooriyakumaran Hiroshi Ito Mark Hart Frances Houle Geraud Dubois Robert Miller Robert Allen March, 2006 05/24/2006 Imprint in IBM IBM Research Division is conducting an exploratory

More information

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards,

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials Louisiana State University LSU Digital Commons LSU Master's Theses Graduate School 10-10-2018 Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

More information

Self-Assembled InAs Quantum Dots on Patterned InP Substrates

Self-Assembled InAs Quantum Dots on Patterned InP Substrates Self-Assembled InAs Quantum Dots on Patterned InP Substrates J. Lefebvre, P.J. Poole, J. Fraser, G.C. Aers, D. Chithrani, and R.L. Williams Institute for Microstructural Sciences, National Research Council

More information

Superconducting Single-photon Detectors

Superconducting Single-photon Detectors : Quantum Cryptography Superconducting Single-photon Detectors Hiroyuki Shibata Abstract This article describes the fabrication and properties of a single-photon detector made of a superconducting NbN

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Nano fabrication and optical characterization of nanostructures

Nano fabrication and optical characterization of nanostructures Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication and optical characterization of nanostructures Lecture 12 1 Optical characterization

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 Polymer, Protein, Complexity Nanoimprinting PMMA silicon Dry etching Heat & Pressure Metal Lift-off Cooling & Separation Remove polymer S.

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Graphene: Plane and Simple Electrical Metrology?

Graphene: Plane and Simple Electrical Metrology? Graphene: Plane and Simple Electrical Metrology? R. E. Elmquist, F. L. Hernandez-Marquez, M. Real, T. Shen, D. B. Newell, C. J. Jacob, and G. R. Jones, Jr. National Institute of Standards and Technology,

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Trends in Nanotechnology: Self-Assembly and Defect Tolerance

Trends in Nanotechnology: Self-Assembly and Defect Tolerance Trends in Nanotechnology: Self-Assembly and Defect Tolerance (Invited paper submitted to MSTNEWS 3 January 2001) T. I. Kamins and R. Stanley Williams Quantum Science Research, Hewlett-Packard Laboratories,

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Instabilities in Thin Polymer Films: From Pattern Formation to Rupture

Instabilities in Thin Polymer Films: From Pattern Formation to Rupture Instabilities in Thin Polymer Films: From Pattern Formation to Rupture John R. Dutcher*, Kari Dalnoki-Veress Η, Bernie G. Nickel and Connie B. Roth Department of Physics, University of Guelph, Guelph,

More information

Spin-Conserving Resonant Tunneling in Twist- Supporting Information

Spin-Conserving Resonant Tunneling in Twist- Supporting Information Spin-Conserving Resonant Tunneling in Twist- Controlled WSe2-hBN-WSe2 Heterostructures Supporting Information Kyounghwan Kim, 1 Nitin Prasad, 1 Hema C. P. Movva, 1 G. William Burg, 1 Yimeng Wang, 1 Stefano

More information

Supporting Information

Supporting Information Supporting Information Monolithically Integrated Flexible Black Phosphorus Complementary Inverter Circuits Yuanda Liu, and Kah-Wee Ang* Department of Electrical and Computer Engineering National University

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Micro- and Nano-Fabrication of Stimuli-Responsive Polymers

Micro- and Nano-Fabrication of Stimuli-Responsive Polymers Micro- and Nano-Fabrication of Stimuli-Responsive Polymers Y. Ito Kanagawa Academy of Science and Technology KSP East 309, 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012, Japan Phone: 044-819-2044 Facsimile:

More information

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX, SUPPORTING INFORMATION FOR: Designing intrablock attractions to increase the χ parameter of a symmetric diblock copolymer Sunshine X. Zhou, Dustin W. Janes, Chae Bin Kim, C. Grant Willson, Christopher

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Flexible nonvolatile polymer memory array on

Flexible nonvolatile polymer memory array on Supporting Information for Flexible nonvolatile polymer memory array on plastic substrate via initiated chemical vapor deposition Byung Chul Jang, #a Hyejeong Seong, #b Sung Kyu Kim, c Jong Yun Kim, a

More information

2.1 Traditional and modern applications of polymers. Soft and light materials good heat and electrical insulators

2.1 Traditional and modern applications of polymers. Soft and light materials good heat and electrical insulators . Polymers.1. Traditional and modern applications.. From chemistry to statistical description.3. Polymer solutions and polymer blends.4. Amorphous polymers.5. The glass transition.6. Crystalline polymers.7.

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION High-density integration of carbon nanotubes by chemical self-assembly Hongsik Park, Ali Afzali, Shu-Jen Han, George S. Tulevski, Aaron D. Franklin, Jerry Tersoff, James B. Hannon and Wilfried Haensch

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Achieving Tight sigmas in Bit Patterned Media

Achieving Tight sigmas in Bit Patterned Media Achieving Tight sigmas in Bit Patterned Media Dieter Weller Chief Technologist Seagate Technology Diskcon 2008, September 18, Santa Clara Acknowledgement 1 Tbit/in 2 patterned dots Team Seagate Areal Density

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Wet Clean Challenges for Various Applications

Wet Clean Challenges for Various Applications Wet Clean Challenges for Various Applications Business of Cleans Conference 2018 Stephen Olson, Martin Rodgers, Satyavolu Papa Rao, Chris Borst solson@sunypoly.edu Outline SUNY Poly Introduction Background

More information

Supporting Information

Supporting Information Supporting Information Scalable Binder-Free Supersonic Cold Spraying of Nanotextured Cupric Oxide (CuO) Films as Efficient Photocathodes Jong Gun Lee, a,, Do-Yeon Kim, a,, Jong-Hyuk Lee, a, Min-woo Kim

More information

Microfabrication for MEMS: Part I

Microfabrication for MEMS: Part I Microfabrication for MEMS: Part I Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia, from whose lecture notes some of these materials are adapted. CL: 6.777J/2.372J

More information

Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes

Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes Printing Silver Nanogrids on Glass: A Hands-on Investigation of Transparent Conductive Electrodes Silver Nanogrid/Nanowire Importance The next generation of optoelectronic devices requires transparent

More information

The Intermaterial Dividing Surface (IMDS)

The Intermaterial Dividing Surface (IMDS) The Intermaterial Dividing Surface (IMDS) Can think of the microdomain structure as comprised of a set of surfaces that define the changeover in composition from Block A to Block B The IMDS in an AB diblock

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

High intrinsic carrier mobility,

High intrinsic carrier mobility, Epitaxial Graphene Nanoribbon Array Fabrication Using BCP-Assisted Nanolithography Guanxiong Liu,, Yanqing Wu, Yu-Ming Lin, Damon B. Farmer, John A. Ott, John Bruley, Alfred Grill, Phaedon Avouris, Dirk

More information

process dependencies in nanoimprint

process dependencies in nanoimprint Modeling and mitigating pattern and process dependencies in nanoimprint lithography 23 June 2011 Hayden Taylor Singapore-MIT Alliance for Research and Technology formerly based at: Microsystems Technology

More information

Taurus-Topography. Topography Modeling for IC Technology

Taurus-Topography. Topography Modeling for IC Technology SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Transparent TiO 2 nanotube/nanowire arrays on TCO coated glass substrates: Synthesis and application to solar energy conversion

Transparent TiO 2 nanotube/nanowire arrays on TCO coated glass substrates: Synthesis and application to solar energy conversion Transparent TiO 2 nanotube/nanowire arrays on TCO coated glass substrates: Synthesis and application to solar energy conversion Craig A. Grimes Department of Electrical Engineering Center for Solar Nanomaterials

More information

Lecture 3. Self-assembled Monolayers (SAM)

Lecture 3. Self-assembled Monolayers (SAM) 10.524 Lecture 3. Self-assembled Monolayers (SAM) Instructor: Prof. Zhiyong Gu (Chemical Engineering & UML CHN/NCOE Nanomanufacturing Center) Lecture 3: Self-assembled Monolayers (SAMs) Table of Contents

More information