Representative Critical-Path Selection for Aging-Induced Delay Monitoring

Size: px
Start display at page:

Download "Representative Critical-Path Selection for Aging-Induced Delay Monitoring"

Transcription

1 Representative Critical-Path Selection for Aging-Induced Delay Monitoring Farshad Firouzi, Fangming Ye, Krishnendu Chakrabarty, and Mehdi B. Tahoori Karlsruhe Institute of Technology, Germany Department of Electrical and Computer Engineering, Duke University, Durham, NC, USA Abstract Transistor aging degrades path delay over time and may eventually induce circuit failure due to timing variations. Therefore, in-field tracking of path delays is essential and to respond to this need, several delay sensor designs have been proposed in the literature. However, due to the significant overhead of these designs and the large number of critical paths in today s IC, it is infeasible to monitor the delay of every critical path in silicon. We present an aging-aware representative path-selection method that allows us to measure the delay of a small set of paths and infer the delay of a larger pool of paths that are likely to fail due to transistor aging. Moreover, since aging is affected by process variations and runtime variations in temperature and voltage, we use machine learning and linear algebra to incorporate these variations during representative path selection. Simulation results for benchmark circuits highlight the accuracy of the proposed approach for predicting critical path delay based on the selected representative paths. I. INTRODUCTION As CMOS feature sizes scale further in the nano-meter regime, reliability is emerging as a major design criterion. Transistor aging, which is affected by workload-dependent voltage and temperature variations, as well as process variations, is recognized today as a key reliability bottleneck [1], []. Aging introduces significant delay in a circuit over time. Eventually, a circuit might exhibit failure if the delay in the critical paths exceeds the timing constraints for which it was designed. One of the main cause of transistor aging is Bias Temperature Instability (BTI), which gradually increases the threshold voltage of the transistor, and thereby circuit delay, over time. The BTI-induced delay degradation rate of the transistor depends on several factors, which can be classified into two categories: 1) effects of process variations on device parameters, e.g., threshold voltage and the effective gate length at manufacturing time, ) workload and operating conditions that affect the temperature and voltage droop profile for the chip. Temperature and voltage vary both spatially and temporally. Therefore, to accurately predict aging-induced path delays, the effect of all these phenomena on circuit timing must be considered [3], [4]. The traditional method used to mitigate gradual delay shifts due to transistor aging is to add timing margins to the circuit at design-time. However, the use of static and conservative timing margins, and neglecting silicon data and runtime variations lead to considerable performance loss. To avoid the overhead of design-time solutions, several online techniques have been proposed to respond to time-varying, aging-induced characteristics of the circuit [5], [6]. Online path delay monitoring can respond to dynamical aging-induced delay changes. Periodic delay testing at different operating frequencies has been proposed in recent years to estimate path delays in the presence of transistor aging, and to predict the system runtime failure [7], [8]. Since this approach interrupts the normal execution of the system in order to apply test vectors, it can result in substantial performance penalty. Moreover, it needs on-board memory to store test patterns. The use of aging sensors is another method for monitoring the aging-induced delay in a circuit [9], [1], [11], [1], [13]. However, monitoring techniques require that a large number of sensors be inserted in the circuit to precisely track path delays. Replica circuits (paths) are deployed to estimate the circuit delays in the presence of transistor aging [14], [15], [16]. In this approach, a small set of critical paths is synthesized as a stand-alone circuit to represent the aging-induced delay in the circuit. However, replica paths only cover a small portion of the large pool of critical paths inside the circuit. To identify appropriate replica paths, the approach proposed in [17] selects a small set of critical paths, referred to as representative critical reliability paths, to capture and track the aging-induced delay of the circuit. The key idea behind this approach is to exploit the topological similarity among critical paths to find a minimum set of paths whose delays have high correlation with the circuit delay. However, since this approach does not take runtime and process variations into account, it might lead to an incorrect selection of representative critical reliability paths. Moreover, this approach only estimates the aging-induced delay of the entire circuit and does not localize the source (gates/paths) of aging. Note that the localization information can be used by fine-grained aging mitigation techniques such as Input Vector Control (IVC) [18], to focus on targeted gates/paths rather than treating the circuit (all critical paths) uniformly. In this paper, we propose a new method that utilizes linear algebra and machine learning to dynamically select a small subset of critical paths to be monitored in order to accurately predict the delay of a large pool of critical paths inside the circuit. The proposed method can be regarded as being complementary to prior work on failure prediction [7], [13] in that we provide a selection method to identify the most relevant critical paths to be targeted. Our technique also extends postsilicon path selection techniques [19], [], [1] in that our objective is to predict delay increase of each individual critical path during time rather than identifying speed-paths or delay of critical paths at zero time. In addition, we consider the effects Paper 13. INTERNATIONAL TEST CONFERENCE /13/$31. c 13 IEEE

2 of the workload-dependent temperature and voltage variations, as well as process variations on aging-induced delay increase, during the path-selection process. Neglecting these factors results in incuring significant errors in aging-induced delay estimates and the resulting path-selection results are adversely affected. The delay of the selected paths can be measured by reusing the already available on-chip sensors [], [3], or by using delay-testing techniques [7], [8]. The aging-induced delay shift of the other critical paths is calculated based on the measured delay of the selected representative paths. Simulation results for various benchmark circuits highlight the accuracy of the proposed approach for predicting critical path delay based on the selected representative paths. The rest of the paper is organized as follows. Section II presents the problem statement and an overview of the proposed method. Section III discusses path characteristics and how we use them in our method. Section IV and Section V describe the proposed learning algorithms used to generate a set of representative critical paths. Experimental results for benchmark are shown in Section VI. Finally, Section VII concludes the paper. II. PROBLEM STATEMENT AND OVERVIEW OF PROPOSED METHOD One approach to monitor circuit delay is to target a large pool of target (long) paths that are more likely to have timing failures; such paths are referred to as Critical Paths (CPs). However, monitoring such a large number of CPs is not feasible due to the cost associated with the placement of too many sensors. A solution to this problem lies in the selection of only a small set of Representative Critical Paths (RCPs) from the large pool of target paths. The delays of the RCPs are accurately measured either by on-chip sensors or via delay testing, and the measured values are mapped to the delays of the other critical paths by exploiting the similarities in timing characteristics between CPs and RCPs. In other words, a small set of RCPs is used to predict delays of a large pool of CPs. Our goal is to select an optimal number of paths as RCPs to ensure that the prediction error is minimized. Fig. 1 illustrates the proposed method of RCP selection. The proposed flow consists of two different phases: 1) Variation Aware Timing Analysis (VATA) ) Path Selection and Verification. We utilize a logic simulator, the circuit netlist, and a set of representative input vector (which can be obtained by systemlevel profiling) to obtain signal probabilities and activity factors for all the internal nodes in the circuit. A key contribution of the proposed method is that it incorporates workloaddependent variations in temperature and voltage droop as well as process variations into the BTI-aware RCP-selection algorithm. Since BTI varies exponentially with process variations as well as with temperature and the operating voltage [3], neglecting one or some of these factors results in a significant error in the estimated BTI-induced delay degradation. To account for these factors during our analysis, a profiling process is conducted to obtain the temperature and voltage of each individual gate within the circuit. Next, the extracted profiles are projected into the gate-level BTI models to accurately estimate the path delays of the circuit. Since determining path delays by aging-aware SPICE simulation is computationally infeasible for large circuits, we characterize all the standard cells under various operating conditions (e.g., temperature, voltage, capacitance, input slope, voltage threshold) through accurate HSPICE simulations. This information is stored in a set of look-up tables (LUTs) and subsequently used by a gate-level static timing analysis (STA) tool to estimate the circuit delay. This approach enables us to achieve an accuracy comparable to transistor-level SPICE timing simulation, but the runtime is linear in the number of gates. In the RCP selection phase, all possible CPs within the circuit are enumerated using the above timing analysis flow. Next, we use the proposed method to select the RCPs. Finally, to verify the accuracy and efficiency of the proposed RCP selection method, we compare the actual measured path delays (extracted by the aging and variation-aware timing analysis framework) with the predicted delay values. Note that our proposed approach is a design-time technique. In other words, RCPs are selected during the design phase. However, due to process variation, some non-critical paths might become critical after fabrication. To cope with this problem, the initial set of CPs should be designed to consist of a substantial number of critical and near-critical paths. This approach ensures that the initial set of CPs not only consists of those CPs that are critical at normal operation, but also considers additional paths might become critical under different operation conditions. As shown in Section VI, even for a large set of CPs, our approach can find a small set of RCPs. III. FEATURE GENERATION AND PATH ENCODING To correlate the aging-induced delay of CPs with various design and run-time characteristics that impact BTI-induced delay degradation, we propose to use a machine-learning approach. The identification of these correlations between paths and the sources of timing variation allows us to build a model to monitor the delay of CPs over the lifetime of the system. To effectively explore the aging-aware uncertainty space, a set of features is utilized to encode the delay of a given path into a feature vector. Each of these features is a source of uncertainty that affects the aging-induced delay of a path. Suppose each CP p i can be encoded by a vector p i =[x i1,x i...x im ] with M chip features, which include information regarding 1) netlist and layout (e.g., logic gates in the path), ) process variation, 3) BTI, 4) temperature, and 5) voltage droop. A. Topological features These features model netlist and layout characteristics that are related to the gate types and location of the gates. As an example, these features can include information such as which gates are located in each critical path and the coordinate of each gate in the corresponding layout of the circuit. Paper 13. INTERNATIONAL TEST CONFERENCE

3 SPICE Gate Netlist Representative Workload Circuit HDL Description Path Feature Encoding SPICE Cell Characterization Aging-Aware Timing LUTs System-logic Profiling Transistor-Level BTI Estimation Temperature Profiling Synthesis (Gate-level Netlist) Place&Route Process Variation Model Path Selection Procedure Candidate Representative Paths (RPs) Predicting Paths Delays Using Representative Paths Transistor-Level Aging & Variations Aware Timing Model Voltage Drop Analysis Pre-Processing Timing Accuracy is met? No Timing Analysis Module1: Aging and Variations Aware Timing Analysis Aging-Variation aware Critical Paths & feature Fig. 1: Overall flow of the proposed path selection methodology. Yes Display Module: RP Selection B. Process-variation features Due to process variation, the Physical Parameters (PPs) of fabricated devices and interconnects are different from their nominal values. These uncertainties affect circuit delay, temperature-voltage profiles, as well as the BTI-induced delay degradation [4], [5]. To model the variation of PPs, the following pair of equations is used [6]: ΔPP total =ΔPP dd +ΔPP wd, ΔPP wd =ΔPP cor +ΔPP rand, (1) where ΔPP dd represents die-to-die variation, ΔPP wd is within-die (intra-die) variation, ΔPP cor represents the spatially-correlated variation, and ΔPP rand denotes the independent random variations. To model spatial correlations, the layout of the chip is partitioned into several rectangular grids where the number of grids depends on the die area. The correlation between two grids, follows a diminishing function of e bd, where d is the distance between the grids and b is a constant. In our experiments, process variation is modeled as 3σ/μ =. [5]. Finally, a CP is encoded in a way that the corresponding vector reflects the grids to which the path is sensitive. C. BTI feature BTI can be understood in terms of two different phenomena: 1) Stress: Two physical mechanisms have been identified as being contributors to the BTI-induced threshold voltage increase: 1) Reaction-Diffusion ) Trapping- Detrapping. In the first mechanism, traps are generated at the interface of the Si/dielectric through a process consisting of an electrochemical reaction together with the diffusion of H + into the poly-gate. In the second mechanism, some of the holes are trapped due to tunneling in the pre-existing defects within the oxide. Both mechanisms cause the threshold voltage of the transistors to increase over time. ) Recovery: This phenomena occurs when V gs =1for PMOS and V gs =for PMOS transistor. In this phase, some of the generated/activated traps are removed from the interface and oxide. Therefore, the threshold voltage decreases towards its initial value. It should be noted that the recovery mode cannot completely compensate for the effect of the stress mode and hence the overall effect of BTI is a positive shift in the threshold voltage of the transistor. To model the overall BTI-induced threshold voltage shift, we use the widely adopted model from [3] as follows: ( ) n Kv αt clk ΔV th (t) = () 1 β 1/n K v = f(v dd V th ), (3) β = f(t,t clk,tox,t), where t denotes time, t clk is the clock cycle, α is the duty cycle, T is the temperature, and n is a fabrication process constant. The parameters V th and V dd represent threshold voltage and supply voltage, respectively. D. Temperature feature One of the major sources of workload-dependent runtime variations, which strongly influences BTI, is temperature. The temperature and power consumption of a chip are tightly coupled. To convert the power consumption profile to a, Paper 13. INTERNATIONAL TEST CONFERENCE 3

4 A All gates (power) in this area load Point A Vdd Fig. : Equivalent circuit model of a power grid. temperature profile, the layout of the chip is divided into several rectangular grids. Next, the power consumption for each grid is calculated by adding the leakage and dynamic power for the gates located in each grid. The switching activity of each node together with parasitic capacitance information obtained from the floorplan, are used to estimate the leakage and dynamic power of each cell inside the circuit netlist, respectively. Finally, the floorplan and power profile are given to the publicly available tool HotSpot [7] to extract the temperature profile of the chip. In order to encode a path with respect to temperature, the chip area is divided into several grids. The representative temperature feature of the path reflects the grids in which the path passes through. E. Voltage droop feature Due to switching currents and the resistance of the power delivery network, the actual supply voltage level seen by individual gates during circuit operation fluctuates from its nominal value. Voltage droop, which has been shown to vary significantly over time and from gate-to-gate [8], [9], significantly affects BTI-induced delay degradation [3], [3]. According to [31], the power network can be modeled as a resistive network distributed over the die (see Fig. ). Therefore, voltage droop as a function of the drawn current is calculated using the following equation [3]: V grid = G 1 I, (4) where G is the conductance matrix, V grid is a vector of supply voltages of the grids, and I is a vector of current drawn off the power grids. Note that the current drawn from each grid can be calculated by adding the dynamic and leakage currents of all the gates inside the grid. Similar to the temperature feature, the voltage droop feature captures the transformation of voltage grids to the delays of the target paths. i.e., it encodes the membership of each path to the power grids and the corresponding voltage sensitivity to the grid. IV. AGING-AWARE REPRESENTATIVE PATH SELECTION AND PATH DELAY PREDICTION Recall that our goal is to select RCPs for monitoring in order to estimate the chip performance. Based on the measured delays in RCPs, we could accurately estimate the delay of other CPs. Given N CPs, we use an M N matrix P = {p 1,p,...,p N } T to denote these paths. Each path p i = {x i1,x i,...,x im } is encoded with M features as described in Section III. The total delay d i in each path p i is determined by sum of contributions from each feature, namely delay contributions, such that d i = p i T and T = {t i,t,...,t M } T. The delay of N paths can be expressed as D =[d 1,d,...,d N ] T. The selected RCP set can be denoted as P R = {p 1,p,...,p r} T, where P R P and R<<N. Similarly, the delay measurements of the RCPs are of the form of D R =[d 1,d,...,d R ]T. In order to identify the RCP set, we rely on unsupervised machine learning techniques, such as method and clustering, which are discussed below. The choice of unsupervised learning is motivated by the fact that we have no data on the behavior of the chip available for supervised learning. A. method Singular-value decomposition and QR decomposition with column pivoting () is an orthogonal transformation technique that has been widely used for feature selection in many areas, such as signal processing, control theory, and network optimization [33], [34]. Using the method, an RCP set P R can be selected from the complete CP set P. The delay for each CP can be estimated using a linear combination of measured delays in RCPs. The estimated delay D can be expressed as follow: D = PP T R (P R P T R ) 1 D R, (5) where () 1 denotes the inverse matrix. The corresponding estimation error can be measured using relative root meansquared error (), defined as: (D D)) = 1, (6) N range(d) where range(d) is the range of D = max(d) min(d). To accurately predict delays in critical paths using delays in representative paths, the selection of representative paths represents a tradeoff between number of RCP R and prediction error Err. To select RCPs, we rely on SVD factorization, which transforms the matrix P into a product of three matrices. The decomposition can be written as: P = UΣV T, (7) where matrix U R N N and V R M M are orthogonal matrices, and Σ=diag(σ 1 σ... σ M ). The diagonal elements of Σ are called the singular values of P. An important property of SVD is that it reveals the rank of P. In Equation (7), rank(p ) = rank(σ). Consequently, the number of non-zero singular values indicates the rank of the matrix P. However in our application, we can get an even smaller number R<rank(Σ), since the existence of smaller singular values σ i implies the presence of redundancy or less important rules among the rules that forms the complete set [35]. Paper 13. INTERNATIONAL TEST CONFERENCE 4

5 Algorithm 1: method Input: P, p ex th Output: P R,R 1: N number of critical paths in P : Singular-value decomposition: [U, Σ,V] = SVD(P ); 3: R, p ex, array S diag (Σ); 4: while p ex <p ex th do 5: R R +1; 6: p ex = ΣR 1 si Σ, s N 1 si i S; 7: end while 8: Select first R columns in U, U R = U(:, 1:R); 9: QR-decomposition with column pivoting: [Q, R, Π] =QR(UR T ); 1: P n =Π T P ; 11: P R = P n (:, 1:R); 1: return P R and R Fig. 3: Procedure for the method. In order to determine appropriate R, we adopt the criterion p ex, i.e., the percentage of energy explained by singular values [36]. It is defined as: p ex = R i=1 σ i N i=1 σ i 1, (8) where R is the number of RCPs for which the energy explained by the corresponding R number of singular values is p ex percentage of the total energy. In this work, we determine minimum R RCPs to meet P ex >P ex th = 99%, thereby these R RCPs can represent nearly the entire set of CPs. Once we determine the optimal number of critical paths R, we then select the positions of these RCPs based on QR decomposition using column pivoting (QRcp), using following equation: U T R = QRΠ T, (9) where the input to this procedure is U R, a sub-matrix formed by the first R columns of U [], [17]. Q is a unitary matrix, and R is an upper triangular matrix. The permutation matrix Π can transform P, reflected in UR T, so that the critical paths in P n =Π T P appears in a decreasing order of corresponding importance. Then we take the sub-matrix P R formed by the first R rows of P n to be the RCP set. The complete algorithm is presented in Fig. 3, Next, we present a small example to illustrate the selection of RCPs based on. Suppose we have a CP set P and delay contribution vector T as follow: P = T =, , (1) where P consists of 8 CPs as row vectors, each of which has 9 features. An entry of 1 indicates that the CP corresponding to that row exhibits the feature for that column. Delay of CP set is D = P T = {d 1 =11,d =8,d 3 =9,d 4 =4,d 5 =8,d 6 = 9,d 7 =5,d 8 =13}. According to the algorithm shown in Fig. 3, if we set number of RCPs R to be 5, the selected RCP set is P R = {p,p 3,p 5,p 6,p 8 }, and P e x =.95 according to Equation (8). By measuring the delay of RCPs in P R and using Equation (5), we can predict the delay of remaining CPs d 1 = 1.8, d 4 = 3.6, and d 7 = 4.9. The is calculated to be 1.. If we consider fewer RCPs, e.g. R =3, the selected P R = {p,p 5,p 8 }. Then predicted delay of the remaining CPs are d 1 =1.9,d 3 =7.,d 4 = 3.,d 6 =7.6, and d 7 =4.3, and is 7., which is still quite low. B. Clustering method Clustering is an unsupervised learning technique that can be used to cluster critical paths so that critical paths in the same cluster are more similar to each other than those in other clusters. We select one critical path from each cluster to form the RCP set, based on which we predict the delays in the other CPs. Here, we use a widely used clustering methods, namely clustering. clustering incorporates fuzzy logic, whereby each critical path has a probability of belonging to each cluster [19], thus each critical path can probabilistically belong to two or more clusters rather than only one cluster. This fuzzy set membership can be interpreted as that any two paths may share partial features, thus any path is a combination of multiple features that can be regarded as clusters. The objective of C- means clustering is to maximize the inter-cluster variance and minimize the intra-cluster variance. The training of clustering is based on minimization of the objective function J m as shown below: N C J m = u m ij p i c j, (11) i j where m 1 is a weighting factor, and is the Euclidean norm. The parameter N is the number of CPs. Set C consists of k clusters, in which c j is the centroid of each cluster, and u ij is the probability that a path p i belongs to c j.the optimization problem follows two iterative steps of calculating between centroid c j and probability u ij, such that: c j = ΣN i um ij p i Σ N i um ij k j C, (1) C u ij =( ( p i c j p i c k )) 1 i N,j C. (13) Note that computation of the updated probability u ij is necessary for the minimization of the objective function J m [37]. The complete algorithm is shown in Fig. 4. The algorithm will eventually converge to a minimum objective function, under the condition that the change in J m is below some threshold ξ. Paper 13. INTERNATIONAL TEST CONFERENCE 5

6 Algorithm : clustering method Input: P, N, k, ξ Output: U, C 1: Initialize U = {u ij } matrix; : Initialize J m very large value; 3: repeat 4: J old J m ; 5: for each 1 <j<kdo 6: update C = {c j c j = N i um ij pi N i um ij 7: update U = {u ij u ij =( k ( pi cj 8: end for 9: J m = N i C j um ij p i c j ; 1: until J m J old <ξ 11: return U and C }; p i c k )) 1 }; Fig. 4: Procedure for the clustering method. The effectiveness of the clustering method depends on the choice of the number of clusters. If we select too few clusters, we may not cover all the segments in the design. If we select too many clusters, we may exceed the upper limit on the number of CP monitors. We determine the number of clusters by the monitoring resources, e.g. number of sensors. To illustrate the clustering method, we again use the CP set P shown in Equation (1). If we use 5 clusters, reflected as 5 selected representative paths, the membership Matrix U as obtained using the algorithm of Fig. 4 is shown below: U = where each column corresponds to the membership of a CP to each cluster. Here, RCP set P R = {p 1,p 3,p 4,p 5,p 7 } is selected, as these paths have the highest scores in each cluster. The delays of the remaining CPs are calculated using Equation (5) as d =6.9,d 6 =7.8, and d 8 =11.8. Thus the is, which is low, but comparatively higher than the obtained using with the same number of RCPs. V. AGING-AWARE ADAPTIVE PREDICTION As discussed in Section II, aging effects lead to increased delay in functional paths. However, the delay increase in one path differs from another path due to different workloadinduced stress on each segment in these paths. To account for path delay change over time, we propose an update mechanism to reduce the mismatch in aging-induced delay. At design time, we leverage the method (Algorithm 3) to generate a base representative path set P R. We place delay sensors on all paths in P R. At run-time, in addition to P R, we dynamically monitor a set of additional paths P A using path delay testing. The selection of P A depends on the resource budget available for monitoring, which also determines the number of clusters that can be utilized. The set P A is determined using the C- means clustering algorithm, as shown in Fig. 4. The complete set of monitoring paths P = P R P A can thus be generated, as shown in Fig. 5, When we determine the RCP set for monitoring chip performance, we use the delay prediction mechanism shown in Fig. 6. First, we measure the delays D R in the RCP set P R to get the base predicted delay set D. Based on the predicted delay set D and chip topological features, we cluster P in order to select the extra path set P A. The measured delays in the extra paths D A are then compared to the predicted delay D A. We can thus obtain the offset Δ(D A )=D A D A to estimate Δ(D) for all CPs in P. The eventual prediction model in each interval can thus be updated to account for the prediction errors. To illustrate the effectiveness of the adaptive method, we revisit the example from Section IV, as described in Equation (1). Assume that T (see Equation (1)) is the delay contribution vector at t =. If we consider aging, the delay contribution vector changes at t>. Assume that T 1 is the delay contribution vector at some point t = t i during system runtime, as below: T 1 = [ ] T (14)., When we use a set of 5 RCPs out of 8 CPs and the algorithm method alone, the is 1. at t =. When t = t i, the delay set D 1 = P T 1 is {d 1 = 33,d = 8,d 3 = 9,d 4 = 15,d 5 = 9,d 6 = 6,d 7 =1, and d 8 =38}. Based on the measured delays of RCP set P R = {p,p 3,p 5,p 6,p 8 }, the predicted delays of the remaining 3 CPs are d 1 =9.1,d 4 =1.9, and d 7 =19.. Therefore, the increases to 5.8%, which is much larger than the prediction accuracy obtained at t =. The prediction errors can be mitigated using the adaptive method proposed in Fig. 6. We form a set of 5 RCPs, which consists of 3 fixed RCPs (using method) and dynamic RCPs (using clustering method). The RCP set P R selected by is P R = {p,p 5,p 8 }.Att =, the other dynamic RCPs are selected based on The complete set P of CPs method The representative set P R of RCPs Pre determined at design time Predicted delay info D of CPs using RCPs clustering An extra set P A of monitored paths A new set of monitored paths P = P R U P A Determined at each measurement interval Fig. 5: Algorithm for selecting RCPs using a combination of and clustering for runtime monitoring. Paper 13. INTERNATIONAL TEST CONFERENCE 6

7 Measured delays D R in RCP set P R based prediction model Predicted delay info D of CP set P using P R TABLE I: Information about ITC 99 and IWLS 5 benchmark chips. Predicted delays D A in monitored set P A Measured delays D A in monitored set P A Prediction error (D A ) = D A - D A Updated prediction model Adjusted delay prediction D of CP set P using P =P R U P A Fig. 6: Adaptive delay prediction mechanism. method using a matrix P, as follow: P =[P D] = , (15) where D consists of the measured and the -based predicted delays. The additional RCP set P A is selected to be {p 1,p 6 } and the entire RCP set is P = P R P A = {p 1,p,p 5,p 6,p 8 } for t =. Comparing the measured delays of P A and corresponding predicted delays obtained above, we can obtain the prediction errors Δd 1 =.1 and Δd 6 = 1.4. The delay compensation can thus be calculated for the remaining 3 CPs using Equation (5), such that Δd 3 = 1.3, Δd 4 =.9, and Δ d 7 =.5. Therefore the complete prediction delay set D = {d 1 = 11,d = 8,d 3 =8.5,d 4 =4.1,d 5 =8,d 6 =9,d 7 =4.8,d 8 =13}, and is compensated to be.9%, which is less than using either or clustering alone. At t = t i, similar compensation also applies to the delay prediction. We select the RCP set to be P = {p,p 5,p 8 } {U 6,U 7 }. Note that we select a different P A because the predicted delay using is different at t = t i. The predicted delays based on the adaptive method is then D 1 = {d 1 =3.1,d = 8,d 3 =3.4,d 4 =13.9,d 5 = 9,d 6 =6,d 7 =1, and d 8 =38}. The is 4.9%, which is better than the method only. VI. EXPERIMENTAL SETUP AND RESULTS Experiments are performed on several IWLS 5 and ITC 99 benchmark circuits [38], [39] to evaluate the efficiency and accuracy of the proposed methodology. Circuits are synthesized using Synopsys Design Compiler and mapped to the Nangate 45 nm library [4]. The extracted netlists are placed and routed using Cadence SOC Encounter. The power profile is calculated by running different randomly generated input vectors with a switching activity factor of.. Extracted layouts and power profile are given to HotSpot [7] to obtain the thermal profile of the circuit. BTI-induced threshold voltage change is estimated by assuming a delay degradation b17 b18 b19 b RISC vga # of gates 7k 88k 165k 4k 61k 114k # of gate-type features # of temperature features # of voltage features # of process variation features # of critical paths #. of RCPs The number of RCPs is obtained when timing accuracy is higher than 9. of 1 in 3 years. Moreover, each cell in the library is characterized by accurate HSPICE simulation by sweeping: 1) operating voltage, ) temperature, 3) threshold voltage of each transistor, 4) capacitance, 6) input slope, and 7) gate length. This information is then stored in LUTs. Next, extracted thermal and voltage profiles, and estimated V th of each transistor as well as the generated variations-aware LUTs are given to an interpolation procedure to generate a new library file according to the collected information. Moreover, the netlist is modified accordingly to the remapping of each cell to the newly generated library file. Finally, the generated library and netlist are fed to Primetime to extract critical and near-critical paths of the circuit in the presence of aging and variations. Learning algorithms are implemented using the Matlab 11b statistics toolbox. Experiments are run on a 64 bit Linux systems with 1 GB of RAM and qual-core Intel i7 processors running at.67 GHz. We first evaluate the effectiveness of delay prediction when aging-aware features are considered. For a system with a large number of paths (millions or more), we select only the top of critical paths to form a targeted CP set based on corresponding timing slacks. We use the method (Fig. 3) to select the RCP set from the entire CP set. Prediction accuracy is evaluated based on the metric, defined in Equation (6). Table I lists the total number of CPs, the optimal number of RCPs for different benchmark circuits when the required timing accuracy is set to be higher than 9. Note that, for different circuits, the number of selected RCPs are different based on the calculation of P ex and the total number of CPs. According to this table, we observe that the number of RCPs is significanlty smaller than number of CPs. For example, we select 35 RCPs out of a total of 31 CPs for b17, and 46 RCPs out of a total of 366 CPs for RISC processor. These results show that with only a small number of RCPs, we can predict the delays of a large set of CPs with high accuracy. The prediction accuracy for six benchmarks at measurement point t 3y (the third year in system runtime) is plotted in Fig. 7. First, we observe that drops fast when the number of RCP increases. For example in b, if we take all features into account for delay prediction, the obtained by using only 5 RCPs is 1., while the obtained Paper 13. INTERNATIONAL TEST CONFERENCE 7

8 1 % % (a) b17 1 % % (b) b18 1 % % (c) b19 1 % % (d) b 1 % % (e) RISC 1 % (f) vga Fig. 7: Prediction accuracy obtained 1) using all features and ) using only topological feature at t 3y for ITC 99 and ISWL 5 benchmark circuits. for 18 RCPs is only 1.. Second, the is found to remain constant when the number of RCPs is larger than 5 for b. The results show that there is a clear knee in the graphs for all circuits, which indicates that increasing the number of RCPs beyond a certain point does not have a significant impact on accuracy. Therefore, we are able to achieve high prediction accuracy for a large pool of target CP set by monitoring only a few paths and using Equation (5). Note that we can exploit P ex, using Equation (8), to determine the knee point effectively. Third, we observe that drops faster when we use a more detailed model that considers all features than when we use a simple model that includes only topological features. These results highlight the effectiveness of aging-aware features for delay prediction when we build our prediction model using RCPs, as described in Section III. Note that our method is a superset of [17] in which we can predict delay of every critical path in the circuit, in contrast to the overall delay of the entire circuit. Moreover, we consider more complete features such as process variations, voltage droop, and temperature to achieve more accurate results. As depicted in Fig. 7, by considering all the features, the accuracy is improved by 17. on average if we use the same number of RCPs. Next, we illustrate the effectiveness of using adaptive prediction method for six benchmark circuits. The average prediction accuracies are shown in Fig. 8. The adaptive prediction method is a combination of and clustering, described in Fig. 5 and Fig. 6, respectively. We compare the prediction accuracy obtained using same number of RCPs selected by our proposed method to two static path selection methods, namely (Fig. 3) and clustering (Fig. 4). The number of RCPs selected by the C- means clustering method equals the number of clusters. In addition, we have implemented an iterative clustering method based on [41], thereby selecting the clustering setting with near-optimal prediction accuracy. The prediction accuracy is the average of multiple measurement points during the runtime. We observe a higher prediction accuracy when we use the adaptive prediction method, compared to the other two static methods. For example in b19 as shown in Fig. 8, is. if we use the proposed adaptive prediction, while the is.7% if we use and if we use clustering. Finally, in Fig. 9, we present the prediction accuracy trends during runtime. In almost all cases, where each case corresponds to a circuit and a prediction point, the dynamic method (SVD+) offers higher accuracy in comparison to the two static methods. VII. CONCLUSIONS The complexity associated with advanced technology nodes requires the monitoring of a large pool of critical paths to ensure desired performance of a chip over its lifetime. A Paper 13. INTERNATIONAL TEST CONFERENCE 8

9 with with with (a) b17 (b) b18 (c) b19 with (d) b with (e) RISC with (f) vga Fig. 8: Comparison of average prediction accuracy between different RCP selection methods for ITC 99 and IWLS 5 benchmark circuits. with with with (a) b17 (b) b18 (c) b19 with (d) b with (e) RISC with Fig. 9: Comparison of runtime prediction accuracy between different RCP selection methods for ITC 99 and IWLS 5 benchmark circuits. (f) vga Paper 13. INTERNATIONAL TEST CONFERENCE 9

10 small set of representative critical paths are usually adopted as a surrogate to estimate delays for the complete set of critical paths. However, uncertainties introduced by process variations and aging reduce prediction accuracy when a small of representative critical paths is used. As a result, system adaptation effectiveness and resilience are adversely affected. We have shown how reasoning methods based on linear algebra and dynamic compensation based on clustering dynamic machine-learning can be used to account for uncertainties in chip parameters. Simulation results for a range of benchmark circuits highlight the efficiency of the proposed techniques for predicting critical path delays in the presence of process variations and circuit aging. REFERENCES [1] W. Wang et al., The impact of NBTI effect on combinational circuit: modeling, simulation, and analysis, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 18, no., pp , 1. [] International Technology Roadmap for Semiconductors (ITRS), available at [3] S. Bhardwaj et al., Predictive modeling of the NBTI effect for reliable design, in Proceedings IEEE Custom Integrated Circuits Conference, September 6, pp [4] R. Zheng et al., Circuit aging prediction for low-power operation, in Proceedings IEEE Custom Integrated Circuits Conference, September 9, pp [5] S. V. Kumar, C. H. Kim, and S. S. Sapatnekar, Adaptive techniques for overcoming performance degradation due to aging in digital circuits, in Proceedings Asia and South Pacific Design Automation Conference (ASP-DAC), January 9, pp [6] E. Mintarno et al., Self-tuning for maximized lifetime energy-efficiency in the presence of circuit aging, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 3, no. 5, pp , 11. [7] A. H. Baba and S. Mitra, Testing for transistor aging, in Proceedings VLSI Test Symposium (VTS), May 9, pp. 15. [8] Y. Li et al., Concurrent autonomous self-test for uncore components in system-on-chips, in IEEE Proceedings VLSI Test Symposium (VTS), May 1, pp [9] M. Agarwal et al., Circuit failure prediction and its application to transistor aging, in Proceedings VLSI Test Symposium (VTS), May 7, pp [1] S. Wang, M. Tehranipoor, and L. Winemberg, In-field aging measurement and calibration for power-performance optimization, in Proceedings Design Automation Conference (DAC), June 11, pp [11] E. Karl et al., Compact in-situ sensors for monitoring negative-biastemperature-instability effect and oxide degradation, in Proceedings International Solid-State Circuits Conference (ISSCC), Febuary 8, pp [1] A. Cabe et al., Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay, in International Symposium on Quality of Electronic Design (SQED), March 9. [13] M. Agarwal et al., Optimized circuit failure prediction for aging: Practicality and promise, in Proceedings International Test Conference (ITC), October 8. [14] T. Kim, R. Persaud, and C. Kim, Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits, IEEE Journal of Solid-State Circuits, vol. 43, no. 4, pp , 8. [15] M. Chen et al., A TDC-based test platform for dynamic circuit aging characterization, in IEEE Proceedings International Reliability Physics Symposium (IRPS), April 11. [16] J. Tschanz et al., Tunable replica circuits and adaptive voltagefrequency techniques for dynamic voltage, temperature, and aging variation tolerance, in Proceedings VLSI Circuits Symposium (VTS), June 9, pp [17] S. Wang, J. Chen, and M. Tehranipoor, Representative critical reliability paths for low-cost and accurate on-chip aging evaluation, in IEEE Proceedings International Conference on Computer-Aided Design (ICCAD), October 1, pp [18] F. Firouzi, S. Kiamehr, and M. Tahoori, Power-aware minimum NBTI vector selection using a linear programming approach, vol. 3, no. 1, 13, pp [19] N. Callegari, P. Bastani, L. Wang, S. Chakravarty, and A. Tetelbaum, Path selection for monitoring unexpected systematic timing effects, in IEEE Proceedings Asia and South Pacific Design Automation Conference (ASP-DAC), January 9, pp [] L. Xie and A. Davoodi, Representative path selection for post-silicon timing prediction under variability, in ACM/IEEE Proceedings Design Automation Conference (DAC), January 1, pp [1] P. Bastani et al., Speedpath prediction based on learning from a small set of examples, in ACM/IEEE Proceedings Design Automation Conference, 8, pp. 17. [] A. Drake et al., A distributed critical-path timing monitor for a 65nm high-performance microprocessor, in IEEE Proceedings International Solid-State Circuits Conference (ISSCC), 7, pp [3] M. Omaa, D. Rossi, N. Bosio, and C. Metra, Low cost NBTI degradation detection and masking approaches, Computers, IEEE Transactions on, vol. 6, no. 3, pp , 13. [4] Y. Lu et al., Statistical reliability analysis under process variation and aging effects, in ACM/IEEE Proceedings Design Automation Conference (DAC), 9, pp [5] A. Rogachev, L. Wan, and D. Chen, Temperature aware statistical static timing analysis, in IEEE Proceedings International Conference on Computer-Aided Design (ICCAD), 1, pp [6] J. Xiong, V. Zolotov, and L. He, Robust extraction of spatial correlation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 6, no. 4, pp , 7. [7] W. Huang et al., Hotspot: A compact thermal modeling methodology for early-stage VLSI design, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 14, no. 5, pp , 6. [8] M. Gupta, J. Rivers, P. Bose, G. Wei, and D. Brooks, Tribeca: design for PVT variations with local recovery and fine-grained adaptation, in Proceedings International Symposium on Microarchitecture, 9, pp [9] V. Reddi et al., Predicting voltage droops using recurring program and microarchitectural event activity, IEEE Micro, vol. 3, no. 1, p. 11, 1. [3] W. Wang et al., The impact of NBTI on the performance of combinational and sequential circuits, in ACM/IEEE Proceedings Design Automation Conference (DAC), June 7, pp [31] S. Nassif, Power grid analysis benchmarks, in IEEE Proceedings Asia and South Pacific Design Automation Conference (ASP-DAC), Januaray 8, pp [3] K. Haghdad and M. Anis, Power yield analysis under process and temperature variations, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), no. 99, pp. 1 1, 11. [33] J. Yen and L. Wang, Simplifying fuzzy rule-based models using orthogonal transformation methods, IEEE Transactions on Systems, Man, and Cybernetics, vol. 9, no. 1, pp. 13 4, [34] S. Haykin, Adaptive filter theory (ISE). Prentice-Hall, Englewood- Cliffs, NJ, 3. [35] G. Mouzouris and J. Mendel, Designing fuzzy logic systems for uncertain environments using a singular-value-qr decomposition method, in IEEE Proceedings International Conference on Fuzzy Systems, vol.1, 1996, pp [36] S. Chakroborty and G. Saha, Feature selection using singular value decomposition and QR factorization with column pivoting for textindependent speaker identification, Elsevier Speech Communication, vol. 5, no. 9, pp , 1. [37] J. Bezdek, R. Ehrlich, and W. Full, FCM: The fuzzy clustering algorithm, Elsevier Computers & Geosciences, vol. 1, no., pp , [38] International Workshop on Logic and Synthesis 5 Benchmark (IWLS 5), available at [39] International Test Conference 1999 Benchmark (ITC 99), available at [4] NANGATE,available at [41] U. Fayyad, C. Reina, and P. S. Bradley, Initialization of iterative refinement clustering algorithms, in Proceedings of the Fourth International Conference on Knowledge Discovery and Data Mining, 1998, pp Paper 13. INTERNATIONAL TEST CONFERENCE 1

Dynamic Adaptation for Resilient Integrated Circuits and Systems

Dynamic Adaptation for Resilient Integrated Circuits and Systems Dynamic Adaptation for Resilient Integrated Circuits and Systems Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University Durham, NC 27708, USA Department of Computer and

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Modeling and Analyzing NBTI in the Presence of Process Variation

Modeling and Analyzing NBTI in the Presence of Process Variation Modeling and Analyzing NBTI in the Presence of Process Variation Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Dept. of Computer Science, Dept. of Electrical and Computer Engg., University of Virginia

More information

Representative Path Selection for Post-Silicon Timing Prediction Under Variability

Representative Path Selection for Post-Silicon Timing Prediction Under Variability Representative Path Selection for Post-Silicon Timing Prediction Under Variability Lin Xie and Azadeh Davoodi Department of Electrical & Computer Engineering University of Wisconsin - Madison Email: {lxie2,

More information

Efficient Selection and Analysis of Critical-Reliability Paths and Gates

Efficient Selection and Analysis of Critical-Reliability Paths and Gates Efficient Selection and Analysis of Critical-Reliability Paths and Gates Jifeng Chen, Shuo Wang, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 0669, USA {jic0900,shuo.wang,tehrani}@engr.uconn.edu

More information

Predicting Circuit Aging Using Ring Oscillators

Predicting Circuit Aging Using Ring Oscillators Predicting Circuit Aging Using Ring Oscillators Deepashree Sengupta and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota, Minneapolis, MN 55455, USA. Abstract

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations

A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations A Novel Flow for Reducing Clock Skew Considering NBTI Effect and Process Variations Jifeng Chen, and Mohammad Tehranipoor University of Connecticut, Storrs, CT 6269, USA {jifeng.chen, tehrani}@engr.uconn.edu

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty ECE Department The University of Texas at Austin Austin, TX 78703, USA ashutosh@cerc.utexas.edu David Z. Pan ECE Department The University

More information

Reducing power in using different technologies using FSM architecture

Reducing power in using different technologies using FSM architecture Reducing power in using different technologies using FSM architecture Himani Mitta l, Dinesh Chandra 2, Sampath Kumar 3,2,3 J.S.S.Academy of Technical Education,NOIDA,U.P,INDIA himanimit@yahoo.co.in, dinesshc@gmail.com,

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen ECE Department, University of Wisconsin, Madison, WI53706-1691, USA E-mail:

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice Omid Kavehei, Said F. Al-Sarawi, Derek Abbott School of Electrical and Electronic Engineering The University of Adelaide Adelaide, SA 5005,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical & Electronic

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 18: March 27, 2018 Dynamic Logic, Charge Injection Lecture Outline! Sequential MOS Logic " D-Latch " Timing Constraints! Dynamic Logic " Domino

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands

System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands Siddharth Garg Diana Marculescu Dept. of Electrical and Computer Engineering Carnegie Mellon University {sgarg1,dianam}@ece.cmu.edu

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Dynamic CMOS Sequential Design Memory and Control. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Dynamic CMOS Sequential Design Memory and Control Dynamic CMOS In static circuits at every point in time (except when switching) the output is connected to either GND or V DD via a low resistance

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization Nathaniel A. Conos and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {conos,

More information

Aging Benefits in Nanometer CMOS Designs

Aging Benefits in Nanometer CMOS Designs Aging Benefits in Nanometer CMOS Designs Daniele Rossi, Member, IEEE, Vasileios Tenentes, Member, IEEE, Sheng Yang, Saqib Khursheed, Bashir M. Al-Hashimi Fellow, IEEE Abstract In this paper, we show that

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories

BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories BTI and Leakage Aware Dynamic Voltage Scaling for Reliable Low Power Cache Memories Daniele Rossi, Vasileios Tenentes, Saqib Khursheed, Bashir M. Al-Hashimi ECS, University of Southampton, UK. Email: {D.Rossi,

More information

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks

Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks ABSTRACT Weicheng Liu, Emre Salman Department of Electrical and Computer Engineering Stony Brook University Stony Brook, NY 11794 [weicheng.liu,

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Previously Understand how to model transistor behavior Given that we know its parameters V dd, V th, t OX, C OX, W, L, N A Day

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam

Efficient Circuit Analysis under Multiple Input Switching (MIS) Anupama R. Subramaniam Efficient Circuit Analysis under Multiple Input Switching (MIS) by Anupama R. Subramaniam A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

Modeling of PMOS NBTI Effect Considering Temperature Variation

Modeling of PMOS NBTI Effect Considering Temperature Variation Modeling of PMOS NBTI Effect Considering Temperature Variation Hong Luo, Yu Wang, Ku He, Rong Luo, Huazhong Yang Circuits and Systems Division, Dept. of EE, Tsinghua Univ., Beijing, 100084, P.R. China

More information

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Yu Wang, Yongpan Liu, Rong Luo, and Huazhong Yang Department of Electronics Engineering, Tsinghua University,

More information

Modeling and Compensation for Capacitive Pressure Sensor by RBF Neural Networks

Modeling and Compensation for Capacitive Pressure Sensor by RBF Neural Networks 21 8th IEEE International Conference on Control and Automation Xiamen, China, June 9-11, 21 ThCP1.8 Modeling and Compensation for Capacitive Pressure Sensor by RBF Neural Networks Mahnaz Hashemi, Jafar

More information

CMOS Ising Computer to Help Optimize Social Infrastructure Systems

CMOS Ising Computer to Help Optimize Social Infrastructure Systems FEATURED ARTICLES Taking on Future Social Issues through Open Innovation Information Science for Greater Industrial Efficiency CMOS Ising Computer to Help Optimize Social Infrastructure Systems As the

More information

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION Muthiah M. A. and E. Logashanmugam Department of Electronics and Communication Engineering, Sathyabama University, Chennai, India E-Mail: muthiah.m.a@outlook.com

More information

Switching Activity Calculation of VLSI Adders

Switching Activity Calculation of VLSI Adders Switching Activity Calculation of VLSI Adders Dursun Baran, Mustafa Aktan, Hossein Karimiyan and Vojin G. Oklobdzija School of Electrical and Computer Engineering The University of Texas at Dallas, Richardson,

More information

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration Jiun-Li Lin, Po-Hsun Wu, and Tsung-Yi Ho Department of Computer Science and Information Engineering,

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

Short Papers. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity

Short Papers. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity 734 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 4, APRIL 2007 Short Papers Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity

More information

STRUCTURAL test offers several advantages over functional

STRUCTURAL test offers several advantages over functional Functional Test-Sequence Grading at Register-Transfer Level Hongxia Fang, Krishnendu Chakrabarty, Abhijit Jas, Srinivas Patil, and Chandra Tirumurti Abstract Functional test sequences are often used in

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

Post-Silicon Timing Characterization by Compressed Sensing

Post-Silicon Timing Characterization by Compressed Sensing Post-Silicon Timing Characterization by Compressed Sensing ABSTRACT We address post-silicon timing characterization of the unique gate delays and their distributions on each manufactured IC. Our proposed

More information

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, and Sung Kyu Lim School of ECE, Georgia Institute of Technology,

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity

Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity 1 Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity Jun Chen and Lei He Electrical Engineering Department, University of California, Los Angeles Abstract With high integration

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs

Session 8C-5: Inductive Issues in Power Grids and Packages. Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs ASP-DAC 2006 Session 8C-5: Inductive Issues in Power Grids and Packages Controlling Inductive Cross-talk and Power in Off-chip Buses using CODECs Authors: Brock J. LaMeres Agilent Technologies Kanupriya

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays Elad Alon 1, Tsu-Jae King Liu 1, Vladimir Stojanovic 2, Dejan Markovic 3 1 University of California, Berkeley 2 Massachusetts

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

High-Performance SRAM Design

High-Performance SRAM Design High-Performance SRAM Design Rahul Rao IBM Systems and Technology Group Exercise RWL WWL READ Path RWL WBL WBLb RBL WWL READ Path WBL WBLb RBL Worst case read condition : Worst case Bitline Leakage when

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability Journal of Computational Electronics 3: 165 169, 2004 c 2005 Springer Science + Business Media, Inc. Manufactured in The Netherlands. A Computational Model of NBTI and Hot Carrier Injection Time-Exponents

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations

EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations Grace Li

More information

Test Generation for Designs with Multiple Clocks

Test Generation for Designs with Multiple Clocks 39.1 Test Generation for Designs with Multiple Clocks Xijiang Lin and Rob Thompson Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97070 Abstract To improve the system performance, designs with

More information