Analyses of Energy Consumption Changes by Loop Transformations in Log Blocks-based FTL

Size: px
Start display at page:

Download "Analyses of Energy Consumption Changes by Loop Transformations in Log Blocks-based FTL"

Transcription

1 Analyses of Energy Consumption Changes by Loop Transformations in Log Blocks-based FTL Memory Architecture and Organization Workshop 2013 (MeAOW 2013) Joon-Young Paik*, Tae-Sun Chung**, Eun-Sun Cho*** {lucadi, eschough@cnu.ac.kr*** ; tschung@ajou.ac.kr** *SOftware REsearch Center, Rep. of Korea ***Department of computer technology, Chungnam University, Rep. of Korea **College of Information Technology, Ajou University, Rep. of Korea

2 Outline 3. Energy consumption models loop transformations

3

4 Flash Memory Ideal replacement for Hard Disk Low power consumption, fast access speed, robustness to shock Widely used from embedded systems to large scale storage systems Loop Structures Repetitive execution pattern Efficient management for the massive data Intensive researches on loop transformations

5 Motivating Example A loop transformation, loop reversal Cause unexpected energy consumption Increase the energy consumption for(i2=0; i2 100;i2++) { for(i1=0; i1 100; i1++) { write(,a[i2][i1],); Loop reversal for(i2=100; i2 0; i2--) { for(i1=100; i1 0; i1--) { write(,a[i2][i1],); Loop A Loop B Goal Analyze how the Affect the energy consumption in flash memory

6

7 Flash Memory Pages and blocks Asymmetric access speed Asymmetric energy consumption Read Write Erase Access time us/page us/page 1.5 ms/block Energy consumption 4.72 uj/page uj/page uj/block Flash Translation Layer (FTL) Located between file system and flash memory Emulate the block devices by mapping logical addresses into physical addresses Enable file system to be used without any modification

8 EC switch : Energy Consumption of a switch operation EC merge : Energy Consumption of a merge operation EC E : Energy Consumption of an erase operation EC W : Energy Consumption of a write operation N p : The number of pages in a block Block Associative Sector Translation (BAST) A log block-based FTL One log block to one data block property Switch operation EC Switch = EC E Merge operation EC Merge = N p EC w +2 EC E

9

10 Energy Consumption Model (EC Loop ) No. of requests of write operations EC w +N s EC Switch + N m EC Merge We investigate the values of N s and N m according to the types of a loop EC switch : Energy Consumption of a switch operation EC merge : Energy Consumption of a merge operation E W : Energy Consumption of a write operation N S : No. of switch operations N m : No. of mere operations

11 for(i2=0; i2 MAX2;i2++) { for(i1=0; i1 MAX1; i1++) { write(,a[i2][i1],); for(i1=0; i1 MAX1;i1++) { for(i2=0; i2 MAX2; i2++) { write(,a[i2][i1],); Four Loop Structures Loop Structure I for(i2=max2; i2 0;i2--) { for(i1= MAX1; i1 0; i1--) { write(,a[i2][i1],); Loop Structure II for(i2=0; i2 MAX2;i2++) { for(i1=0; i1 MAX1; i1++) { write(,a[i2][i1],); write(,b[i2][i1],); Loop Structure III Assumption 1) Layout of the array starts from the first page of a block 2) The row-size of the array is larger than a blocks size 3) We restrict stride variables to a block-size. Loop Structure IV

12 Ascending order Outline A[0][3] A[0][2] Log blocks A[1][2] A[1][1] A[2][1] A[2][0] MAX2=2 MAX1=4 A[2][4] Loop Structure I (EC Loop I ) for(i2=0; i2 MAX2;i2++) { for(i1=0; i1 MAX1; i1++) { write(,a[i2][i1],); Access an array in forward order Store the write operations into ascending order Cause switch operations No. of switch operations = log blocks in 0 No. of merge operations 1 A[0][1] A[1][0] A[1][4] A[2][3] A[0][0] A[0][4] A[1][3] A[2][2]

13 Descending order Outline 5. Experiments Log blocks MAX2=2 MAX1=4 Loop Structure II (EC Loop II ) for(i2=max2; i2 0;i2--) { for(i1= MAX1; i1 0; i1--) { write(,a[i2][i1],); Access an array in backward order Store the write operations into descending order Cause merge operations log blocks in A[2][1] A[2][2] A[2][3] A[1][2] A[1][3] A[1][4] A[0][3] A[0][4] A[1][0] A[0][0] A[0][1] No. of switch operations = 0 No. of merge operations = A[2][4] A[2][0] A[1][1] A[0][2]

14 MAX2=2 MAX1=4 Loop Structure III for(i1=0; i1 MAX1;i1++) { for(i2=0; i2 MAX2; i2++) { write(,a[i2][i1],); Access the elements across different rows, and re-access the rows Due to the re-use pattern, the energy consumption of Loop Structure III is sensitive to the size of the log blocks : EC Loop III and EC Loop III N

15 Ascending order Non-ascending order Outline Loop Structure III (EC Loop III ) : Sufficient log blocks Ascending order occurs log blocks A log block has only one row Non-ascending order occurs A log block has more than two log blocks A[0][3] A[0][4] A[1][4] A[0][2] A[1][2] A[1][3] A[2][4] A[0][1] A[1][1] A[2][1] A[2][3] A[0][0] A[1][0] A[2][0] A[2][2] 1 No. of switch operations MAX 2+1 MAX No. of merge operations

16 Loop Structure III (EC Loop III N ) : Insufficient log blocks The log blocks are evicted by the replacement scheme before the log blocks are re-used MAX MAX log blocks are evicted, each of which cause a merge operation A[2][0] is written MAX2=2 MAX1=4 A[0][0] A[1][0] 1. The log block that has A[0][0] is evict. 2. The evict log block causes a merge operation 3. A[2][0] is stored into the log block. A[2][0] A[1][0] Evicted log block New log block A[2][0] No. of switch operations = 0 No. of merge operations = MAX MAX 1 + 1

17 MAX2=2 MAX1=4 Loop Structure IV (EC Loop IV ) for(i2=0; i2 MAX2;i2++) { for(i1=0; i1 MAX1; i1++) { write(,a[i2][i1],); write(,b[i2][i1],); Access the elements of two arrays in turn, in which each array is referenced in forward order Store the write operations into log blocks in ascending order Due to the one log block to one data block property No. of switch operations = 2 MAX 2+1 MAX No. of merge operations 2

18 consumption changes by Loop Reversal Loop Interchange Loop Distribution

19 consumption changes by loop transformations based on models Loop Reversal Transform Loop I into Loop II Cost model: EC Loop I EC Loop II N s Loop I Loop II Cp. N m [0,1] Transform Loop II into Loop I 0 Cost model: EC Loop II EC Loop I Loop II Loop I Cp. N s 0 N m [0,1]

20 consumption changes by loop transformations based on models Loop Interchange: the log blocks are fully enough Transform Loop I into Loop III Cost model: EC Loop I EC Loop III N s Loop I Loop III Cp. [1, N m [0,1] [0, Transform Loop III into Loop I ], ], Cost model: EC Loop III EC Loop I Loop III Loop I Cp. N s [1, N m [0, ], ] [0,1],

21 consumption changes by loop transformations based on models Loop Interchange: the log blocks are not enough for the Loop Structure III Transform Loop I into Loop III Cost model: EC Loop I EC Loop III N Loop III Loop I Cp. N s 0 N m MAX MAX [0,1] Transform Loop III into Loop I Cost model: EC Loop III N EC Loop I Loop I Loop II Cp. N s 0 N m [0,1] MAX MAX 1 + 1

22 consumption changes by loop transformations based on models Loop Distribution Transform Loop IV into a couple of Loop I Cost model: EC Loop IV 2 EC Loop I Loop IV A couple of Loop I Cp. N s 2 MAX 2+1 MAX MAX 2+1 MAX 1 +1 N m [0,2] [0,2]

23

24 BAST simulator No. of log blocks: 32 Energy consumption of a write operation: uj Energy consumption of a erase operation: uj MAX2=100 MAX1=100 i2 = 1 i1 = 1 : Original loop : Transformed loop Evaluation in Energy Consumption uj uj Loop I Loop II Loop II Loop I <Loop reversal> Loop I Loop III Loop III Loop I <Loop interchange: Log blocks are insufficient> uj Loop I Loop III Loop III Loop I <Loop interchange: Log blocks are sufficient> uj Loop IV A couple of Loop I <Loop distribution>

25

26 Energy Consumption Based on flash memory properties and loop structures Energy Consumption Effect of Loop transformations Analyses on changes in energy consumption by based on the proposed energy consumption models Future Works Energy Consumption in Full Associative Sector Translation (FAST)

27 Q & A

data block data block log block log block group 0 group 1 group 0 group 1

data block data block log block log block group 0 group 1 group 0 group 1 US008261010B2 (12) Unlted States Patent (10) Patent N0.2 US 8,261,010 B2 Eom et al. (45) Date of Patent: Sep. 4, 2012 (54) METHODS FOR DISTRIBUTING LOG (56) References Cited BLOCK ASSOCIATIVITY FOR REAL-TIME

More information

Performance Models of Flash-based Solid-State Drives for Real Workloads

Performance Models of Flash-based Solid-State Drives for Real Workloads Performance Models of Flash-based Solid-State Drives for Real Workloads Simona Boboila Northeastern University 360 Huntington Ave. Boston, MA 02115 simona@ccs.neu.edu Peter Desnoyers Northeastern University

More information

ww.padasalai.net

ww.padasalai.net t w w ADHITHYA TRB- TET COACHING CENTRE KANCHIPURAM SUNDER MATRIC SCHOOL - 9786851468 TEST - 2 COMPUTER SCIENC PG - TRB DATE : 17. 03. 2019 t et t et t t t t UNIT 1 COMPUTER SYSTEM ARCHITECTURE t t t t

More information

Flash Translation Layer (FTL) Paper Review

Flash Translation Layer (FTL) Paper Review Flash Translation Layer (FTL) Paper Review Yeongjae Woo (yeongjae.woo@samsung.com) omputer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ontents Log lock Scheme Fully ssociative Sector

More information

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology

A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology A 68 Parallel Row Access Neuromorphic Core with 22K Multi-Level Synapses Based on Logic- Compatible Embedded Flash Memory Technology M. Kim 1, J. Kim 1, G. Park 1, L. Everson 1, H. Kim 1, S. Song 1,2,

More information

Flip-N-Write: A Simple Deterministic Technique to Improve PRAM Write Performance, Energy and Endurance. Presenter: Brian Wongchaowart March 17, 2010

Flip-N-Write: A Simple Deterministic Technique to Improve PRAM Write Performance, Energy and Endurance. Presenter: Brian Wongchaowart March 17, 2010 Flip-N-Write: A Simple Deterministic Technique to Improve PRAM Write Performance, Energy and Endurance Sangyeun Cho Hyunjin Lee Presenter: Brian Wongchaowart March 17, 2010 Motivation Suppose that you

More information

Fortran program + Partial data layout specifications Data Layout Assistant.. regular problems. dynamic remapping allowed Invoked only a few times Not part of the compiler Can use expensive techniques HPF

More information

Outline. policies for the first part. with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014

Outline. policies for the first part. with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014 Outline 1 midterm exam on Friday 11 July 2014 policies for the first part 2 questions with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014 Intro

More information

FERROELECTRIC RAM [FRAM] Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science

FERROELECTRIC RAM [FRAM] Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science A Seminar report On FERROELECTRIC RAM [FRAM] Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

Geog 469 GIS Workshop. Managing Enterprise GIS Geodatabases

Geog 469 GIS Workshop. Managing Enterprise GIS Geodatabases Geog 469 GIS Workshop Managing Enterprise GIS Geodatabases Outline 1. Why is a geodatabase important for GIS? 2. What is the architecture of a geodatabase? 3. How can we compare and contrast three types

More information

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop EE210: Switching Systems Lecture 14: State Tables, Diagrams, Latches, and Flip Flop Prof. YingLi Tian Nov. 6, 2017 Department of Electrical Engineering The City College of New York The City University

More information

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1

Unit 9. Multiplexers, Decoders, and Programmable Logic Devices. Unit 9 1 Unit 9 Multiplexers, ecoders, and Programmable Logic evices Unit 9 Outline Multiplexers Three state buffers ecoders Encoders Read Only Memories (ROMs) Programmable logic devices ield Programmable Gate

More information

AN EFFICIENT GOLAY CODEC FOR MIL-STD A AND FED-STD-1045 ERIC E. JOHNSON NMSU-ECE FEBRUARY 1991

AN EFFICIENT GOLAY CODEC FOR MIL-STD A AND FED-STD-1045 ERIC E. JOHNSON NMSU-ECE FEBRUARY 1991 AN EFFICIENT GOLAY CODEC FOR MIL-STD-188-141A AND FED-STD-1045 ERIC E. JOHNSON NMSU-ECE-91-001 FEBRUARY 1991 This work was supported in part by the NTIA Institute for Telecommunication Sciences and the

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

Solution of Linear Systems

Solution of Linear Systems Solution of Linear Systems Parallel and Distributed Computing Department of Computer Science and Engineering (DEI) Instituto Superior Técnico May 12, 2016 CPD (DEI / IST) Parallel and Distributed Computing

More information

Hardware Architectures for Public Key Algorithms Requirements and Solutions for Today and Tomorrow

Hardware Architectures for Public Key Algorithms Requirements and Solutions for Today and Tomorrow Hardware Architectures for Public Key Algorithms Requirements and Solutions for Today and Tomorrow Cees J.A. Jansen Pijnenburg Securealink B.V. Vught, The Netherlands ISSE Conference, London 27 September,

More information

Coskewness and Cokurtosis John W. Fowler July 9, 2005

Coskewness and Cokurtosis John W. Fowler July 9, 2005 Coskewness and Cokurtosis John W. Fowler July 9, 2005 The concept of a covariance matrix can be extended to higher moments; of particular interest are the third and fourth moments. A very common application

More information

Measuring Goodness of an Algorithm. Asymptotic Analysis of Algorithms. Measuring Efficiency of an Algorithm. Algorithm and Data Structure

Measuring Goodness of an Algorithm. Asymptotic Analysis of Algorithms. Measuring Efficiency of an Algorithm. Algorithm and Data Structure Measuring Goodness of an Algorithm Asymptotic Analysis of Algorithms EECS2030 B: Advanced Object Oriented Programming Fall 2018 CHEN-WEI WANG 1. Correctness : Does the algorithm produce the expected output?

More information

OHW2013 workshop. An open source PCIe device virtualization framework

OHW2013 workshop. An open source PCIe device virtualization framework OHW2013 workshop An open source PCIe device virtualization framework Plan Context and objectives Design and implementation Future directions Questions Context - ESRF and the ISDD electronic laboratory

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

1 st Semester 2007/2008

1 st Semester 2007/2008 Chapter 17: System Departamento de Engenharia Informática Instituto Superior Técnico 1 st Semester 2007/2008 Slides baseados nos slides oficiais do livro Database System c Silberschatz, Korth and Sudarshan.

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

A Scalable and Provably Secure Hash-Based RFID Protocol

A Scalable and Provably Secure Hash-Based RFID Protocol PerSec 05 A Scalable and Provably Secure Hash-Based RFID Protocol EPFL, Lausanne, Switzerland ÉCOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE Outline A Brief Introduction to the RFID Technology A Brief Introduction

More information

Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks

Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks Yufei Ma, Yu Cao, Sarma Vrudhula,

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

Developing IoT-Based Factory Automation Using F-RAM

Developing IoT-Based Factory Automation Using F-RAM Developing IoT-Based Factory Automation Using F-RAM Douglas Mitchell Flash Memory Summit 2018 Santa Clara, CA 1 INDUSTRIAL SYSTEMS TODAY Industry 4.0 The smart factory, Cyber-physical systems monitor factory

More information

2. To measure the emission lines in the hydrogen, helium and possibly other elemental spectra, and compare these to know values.

2. To measure the emission lines in the hydrogen, helium and possibly other elemental spectra, and compare these to know values. 4.1. Purpose 1. To record several elemental emission spectra using arc lamps filled with each element using the Ocean Optics USB650 spectrometer. 2. To measure the emission lines in the hydrogen, helium

More information

- Why aren t there more quantum algorithms? - Quantum Programming Languages. By : Amanda Cieslak and Ahmana Tarin

- Why aren t there more quantum algorithms? - Quantum Programming Languages. By : Amanda Cieslak and Ahmana Tarin - Why aren t there more quantum algorithms? - Quantum Programming Languages By : Amanda Cieslak and Ahmana Tarin Why aren t there more quantum algorithms? there are only a few problems for which quantum

More information

Register Machines Storing Ordinals and Running for Ordinal Time

Register Machines Storing Ordinals and Running for Ordinal Time 2488 Register Machines Storing Ordinals and Running for Ordinal Time Ryan Siders 6/106 0 Outline Assumptions about Motion and Limits on Programming Without well-structured programming: Well-Structured

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

A Θ(n) Approximation Algorithm for 2-Dimensional Vector Packing

A Θ(n) Approximation Algorithm for 2-Dimensional Vector Packing A Θ(n) Approximation Algorithm for 2-Dimensional Vector Packing Ekow Otoo a, Ali Pinar b,, Doron Rotem a a Lawrence Berkeley National Laboratory b Sandia National Laboratories Abstract We study the 2-dimensional

More information

Lecture 22 Chapters 3 Logic Circuits Part 1

Lecture 22 Chapters 3 Logic Circuits Part 1 Lecture 22 Chapters 3 Logic Circuits Part 1 LC-3 Data Path Revisited How are the components Seen here implemented? 5-2 Computing Layers Problems Algorithms Language Instruction Set Architecture Microarchitecture

More information

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141 - Fall 2002 Lecture 27 Memory Announcements We finished all the labs No homework this week Projects are due next Tuesday 9am 1 Today s Lecture Memory:» SRAM» DRAM» Flash Memory 2 Floating-gate transistor

More information

Introduction. Previous work has shown that AER can also be used to construct largescale networks with arbitrary, configurable synaptic connectivity.

Introduction. Previous work has shown that AER can also be used to construct largescale networks with arbitrary, configurable synaptic connectivity. Introduction The goal of neuromorphic engineering is to design and implement microelectronic systems that emulate the structure and function of the brain. Address-event representation (AER) is a communication

More information

A Hybrid Method for the Wave Equation. beilina

A Hybrid Method for the Wave Equation.   beilina A Hybrid Method for the Wave Equation http://www.math.unibas.ch/ beilina 1 The mathematical model The model problem is the wave equation 2 u t 2 = (a 2 u) + f, x Ω R 3, t > 0, (1) u(x, 0) = 0, x Ω, (2)

More information

74LVC574A Octal D-type flip-flop with 5-volt tolerant inputs/outputs; positive edge-trigger (3-State)

74LVC574A Octal D-type flip-flop with 5-volt tolerant inputs/outputs; positive edge-trigger (3-State) INTEGRATED CIRCUITS inputs/outputs; positive edge-trigger (3-State) 1998 Jul 29 FEATURES 5-volt tolerant inputs/outputs, for interfacing with 5-volt logic Supply voltage range of 2.7 to 3.6 Complies with

More information

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems A Novel Ternary Content-Addressable Memory (TCAM)

More information

ECE521 Lectures 9 Fully Connected Neural Networks

ECE521 Lectures 9 Fully Connected Neural Networks ECE521 Lectures 9 Fully Connected Neural Networks Outline Multi-class classification Learning multi-layer neural networks 2 Measuring distance in probability space We learnt that the squared L2 distance

More information

Description of the ED library Basic Atoms

Description of the ED library Basic Atoms Description of the ED library Basic Atoms Simulation Software / Description of the ED library BASIC ATOMS Enterprise Dynamics Copyright 2010 Incontrol Simulation Software B.V. All rights reserved Papendorpseweg

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc.

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Finite State Machines Introduction Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Such devices form

More information

Designing Information Devices and Systems I Spring 2018 Lecture Notes Note Introduction to Linear Algebra the EECS Way

Designing Information Devices and Systems I Spring 2018 Lecture Notes Note Introduction to Linear Algebra the EECS Way EECS 16A Designing Information Devices and Systems I Spring 018 Lecture Notes Note 1 1.1 Introduction to Linear Algebra the EECS Way In this note, we will teach the basics of linear algebra and relate

More information

Lecture 11. Data Standards and Quality & New Developments in GIS

Lecture 11. Data Standards and Quality & New Developments in GIS Lecture 11 Data Standards and Quality & New Developments in GIS Lecture 11: Outline I. Data Standards and Quality 1. Types of Spatial Data Standards 2. Data Accuracy II. New Developments/The Future of

More information

Introduction to magnetic recording + recording materials

Introduction to magnetic recording + recording materials Introduction to magnetic recording + recording materials Laurent Ranno Institut Néel, Nanoscience Dept, CNRS-UJF, Grenoble, France I will give two lectures about magnetic recording. In the first one, I

More information

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB MEMORY Moores Law for DRAM 2x increase in capacity every 18 months 2006: 4GB Corollary to Moores Law Cost / chip ~ constant (packaging) Cost / bit = 2X reduction / 18 months Current (2008) ~ 1 micro-cent

More information

COURSE CONTENT for Computer Science & Engineering [CSE]

COURSE CONTENT for Computer Science & Engineering [CSE] COURSE CONTENT for Computer Science & Engineering [CSE] 1st Semester 1 HU 101 English Language & Communication 2 1 0 3 3 2 PH 101 Engineering Physics 3 1 0 4 4 3 M 101 Mathematics 3 1 0 4 4 4 ME 101 Mechanical

More information

Analysis of Software Artifacts

Analysis of Software Artifacts Analysis of Software Artifacts System Performance I Shu-Ngai Yeung (with edits by Jeannette Wing) Department of Statistics Carnegie Mellon University Pittsburgh, PA 15213 2001 by Carnegie Mellon University

More information

74LVC823A 9-bit D-type flip-flop with 5-volt tolerant inputs/outputs; positive-edge trigger (3-State)

74LVC823A 9-bit D-type flip-flop with 5-volt tolerant inputs/outputs; positive-edge trigger (3-State) INTEGRATED CIRCUITS inputs/outputs; positive-edge trigger (3-State) 1998 Sep 24 FEATURES 5-volt tolerant inputs/outputs, for interfacing with 5-volt logic Supply voltage range of 2.7V to 3.6V Complies

More information

Mark Redekopp, All rights reserved. Lecture 1 Slides. Intro Number Systems Logic Functions

Mark Redekopp, All rights reserved. Lecture 1 Slides. Intro Number Systems Logic Functions Lecture Slides Intro Number Systems Logic Functions EE 0 in Context EE 0 EE 20L Logic Design Fundamentals Logic Design, CAD Tools, Lab tools, Project EE 357 EE 457 Computer Architecture Using the logic

More information

Reversible Implementation of Ternary Content Addressable Memory (TCAM) Interface with SRAM

Reversible Implementation of Ternary Content Addressable Memory (TCAM) Interface with SRAM International Journal of Electrical Electronics Computers & Mechanical Engineering (IJEECM) ISSN: 2278-2808 Volume 5 Issue 4 ǁ April. 2017 IJEECM journal of Electronics and Communication Engineering (ijeecm-jec)

More information

Binary addition example worked out

Binary addition example worked out Binary addition example worked out Some terms are given here Exercise: what are these numbers equivalent to in decimal? The initial carry in is implicitly 0 1 1 1 0 (Carries) 1 0 1 1 (Augend) + 1 1 1 0

More information

Transposition Mechanism for Sparse Matrices on Vector Processors

Transposition Mechanism for Sparse Matrices on Vector Processors Transposition Mechanism for Sparse Matrices on Vector Processors Pyrrhos Stathis Stamatis Vassiliadis Sorin Cotofana Electrical Engineering Department, Delft University of Technology, Delft, The Netherlands

More information

Evolving a New Feature for a Working Program

Evolving a New Feature for a Working Program Evolving a New Feature for a Working Program Mike Stimpson arxiv:1104.0283v1 [cs.ne] 2 Apr 2011 January 18, 2013 Abstract A genetic programming system is created. A first fitness function f 1 is used to

More information

Performance and Application of Observation Sensitivity to Global Forecasts on the KMA Cray XE6

Performance and Application of Observation Sensitivity to Global Forecasts on the KMA Cray XE6 Performance and Application of Observation Sensitivity to Global Forecasts on the KMA Cray XE6 Sangwon Joo, Yoonjae Kim, Hyuncheol Shin, Eunhee Lee, Eunjung Kim (Korea Meteorological Administration) Tae-Hun

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Delay and Energy Consumption Analysis of Conventional SRAM

Delay and Energy Consumption Analysis of Conventional SRAM World Academy of Science, Engineering and Technology 13 8 Delay and Energy Consumption Analysis of Conventional SAM Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, and Ali Barati Abstract

More information

Toward Precise PLRU Cache Analysis

Toward Precise PLRU Cache Analysis Toward Precise PLRU Cache Analysis Daniel Grund Jan Reineke 2 Saarland University, Saarbrücken, Germany 2 University of California, Berkeley, USA Workshop on Worst-Case Execution-Time Analysis 2 Outline

More information

Analog Computation in Flash Memory for Datacenter-scale AI Inference in a Small Chip

Analog Computation in Flash Memory for Datacenter-scale AI Inference in a Small Chip 1 Analog Computation in Flash Memory for Datacenter-scale AI Inference in a Small Chip Dave Fick, CTO/Founder Mike Henry, CEO/Founder About Mythic 2 Focused on high-performance Edge AI Full stack co-design:

More information

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Dongkun Shin Jihong Kim School of CSE School of CSE Seoul National University Seoul National University Seoul, Korea 151-742 Seoul, Korea

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

Designing Information Devices and Systems I Fall 2018 Lecture Notes Note Introduction to Linear Algebra the EECS Way

Designing Information Devices and Systems I Fall 2018 Lecture Notes Note Introduction to Linear Algebra the EECS Way EECS 16A Designing Information Devices and Systems I Fall 018 Lecture Notes Note 1 1.1 Introduction to Linear Algebra the EECS Way In this note, we will teach the basics of linear algebra and relate it

More information

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0 NEC PerforCache Influence on M-Series Disk Array Behavior and Performance. Version 1.0 Preface This document describes L2 (Level 2) Cache Technology which is a feature of NEC M-Series Disk Array implemented

More information

Sorting suffixes of two-pattern strings

Sorting suffixes of two-pattern strings Sorting suffixes of two-pattern strings Frantisek Franek W. F. Smyth Algorithms Research Group Department of Computing & Software McMaster University Hamilton, Ontario Canada L8S 4L7 April 19, 2004 Abstract

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

COVER SHEET: Problem#: Points

COVER SHEET: Problem#: Points EEL 4712 Midterm 3 Spring 2017 VERSION 1 Name: UFID: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

NONLINEAR AND ADAPTIVE (INTELLIGENT) SYSTEMS MODELING, DESIGN, & CONTROL A Building Block Approach

NONLINEAR AND ADAPTIVE (INTELLIGENT) SYSTEMS MODELING, DESIGN, & CONTROL A Building Block Approach NONLINEAR AND ADAPTIVE (INTELLIGENT) SYSTEMS MODELING, DESIGN, & CONTROL A Building Block Approach P.A. (Rama) Ramamoorthy Electrical & Computer Engineering and Comp. Science Dept., M.L. 30, University

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems S. Chakravarthula 2 S.S. Iyengar* Microsoft, srinivac@microsoft.com Louisiana State University, iyengar@bit.csc.lsu.edu

More information

Calibration Routine. Store in HDD. Switch "Program Control" Ref 1/ Ref 2 Manual Automatic

Calibration Routine. Store in HDD. Switch Program Control Ref 1/ Ref 2 Manual Automatic 4.2 IMPLEMENTATION LABVIEW 4.2.1 LabVIEW features LabVIEW (short for Laboratory Virtual Instrument Engineering Workbench) originally released for the Apple Macintosh in 1986. It is a highly productive

More information

EE141-Fall 2011 Digital Integrated Circuits

EE141-Fall 2011 Digital Integrated Circuits EE4-Fall 20 Digital Integrated Circuits Lecture 5 Memory decoders Administrative Stuff Homework #6 due today Project posted Phase due next Friday Project done in pairs 2 Last Lecture Last lecture Logical

More information

The Viterbi Algorithm EECS 869: Error Control Coding Fall 2009

The Viterbi Algorithm EECS 869: Error Control Coding Fall 2009 1 Bacground Material 1.1 Organization of the Trellis The Viterbi Algorithm EECS 869: Error Control Coding Fall 2009 The Viterbi algorithm (VA) processes the (noisy) output sequence from a state machine

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Week 01 Lecture Notes Antelope Valley College Geography 205

Week 01 Lecture Notes Antelope Valley College Geography 205 I. Introduction to GIS a. Tonight we ll discuss i. What GIS is and isn t ii. Software iii. Data iv. Documents v. File Structure II. GIS a. What it is i. Geographic Information Systems ii. A way of storing,

More information

Highly Efficient GF(2 8 ) Inversion Circuit Based on Redundant GF Arithmetic and Its Application to AES Design

Highly Efficient GF(2 8 ) Inversion Circuit Based on Redundant GF Arithmetic and Its Application to AES Design Saint-Malo, September 13th, 2015 Cryptographic Hardware and Embedded Systems Highly Efficient GF(2 8 ) Inversion Circuit Based on Redundant GF Arithmetic and Its Application to AES Design Rei Ueno 1, Naofumi

More information

Circuit Modeling for Practical Many-core Architecture Design Exploration

Circuit Modeling for Practical Many-core Architecture Design Exploration Circuit Modeling for Practical Many-core Architecture Design Exploration Redefining design abstractions Dean Truong Bevan Baas VLSI Computation Lab University of California, Davis Outline Motivation Circuit

More information

Lecture 6. Alternative storage technologies. All optical recording. Racetrack memory. Topological kink solitons. Flash memory. Holographic memory

Lecture 6. Alternative storage technologies. All optical recording. Racetrack memory. Topological kink solitons. Flash memory. Holographic memory Lecture 6 Alternative storage technologies All optical recording Racetrack memory Topological kink solitons Flash memory Holographic memory Millipede Ferroelectric memory All-optical recording It is possible

More information

DESIGN OF A COMPACT REVERSIBLE READ- ONLY-MEMORY WITH MOS TRANSISTORS

DESIGN OF A COMPACT REVERSIBLE READ- ONLY-MEMORY WITH MOS TRANSISTORS DESIGN OF A COMPACT REVERSIBLE READ- ONLY-MEMORY WITH MOS TRANSISTORS Sadia Nowrin, Papiya Nazneen and Lafifa Jamal Department of Computer Science and Engineering, University of Dhaka, Bangladesh ABSTRACT

More information

Timing analysis and predictability of architectures

Timing analysis and predictability of architectures Timing analysis and predictability of architectures Cache analysis Claire Maiza Verimag/INP 01/12/2010 Claire Maiza Synchron 2010 01/12/2010 1 / 18 Timing Analysis Frequency Analysis-guaranteed timing

More information

arxiv: v1 [cs.et] 13 Jul 2016

arxiv: v1 [cs.et] 13 Jul 2016 Processing In-memory realization using Quantum Dot Cellular Automata arxiv:1607.05065v1 [cs.et] 13 Jul 2016 P.P. Chougule, 1 B. Sen, 2 and T.D. Dongale 1 1 Computational Electronics and Nanoscience Research

More information

Copyrighted Material. 1.1 Large-Scale Interconnected Dynamical Systems

Copyrighted Material. 1.1 Large-Scale Interconnected Dynamical Systems Chapter One Introduction 1.1 Large-Scale Interconnected Dynamical Systems Modern complex dynamical systems 1 are highly interconnected and mutually interdependent, both physically and through a multitude

More information

A Massively Parallel Eigenvalue Solver for Small Matrices on Multicore and Manycore Architectures

A Massively Parallel Eigenvalue Solver for Small Matrices on Multicore and Manycore Architectures A Massively Parallel Eigenvalue Solver for Small Matrices on Multicore and Manycore Architectures Manfred Liebmann Technische Universität München Chair of Optimal Control Center for Mathematical Sciences,

More information

Enabling ENVI. ArcGIS for Server

Enabling ENVI. ArcGIS for Server Enabling ENVI throughh ArcGIS for Server 1 Imagery: A Unique and Valuable Source of Data Imagery is not just a base map, but a layer of rich information that can address problems faced by GIS users. >

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

Agent-Based HOL Reasoning 1

Agent-Based HOL Reasoning 1 Agent-Based HOL Reasoning 1 Alexander Steen Max Wisniewski Christoph Benzmüller Freie Universität Berlin 5th International Congress on Mathematical Software (ICMS 2016) 1 This work has been supported by

More information

Massive Parallelization of First Principles Molecular Dynamics Code

Massive Parallelization of First Principles Molecular Dynamics Code Massive Parallelization of First Principles Molecular Dynamics Code V Hidemi Komatsu V Takahiro Yamasaki V Shin-ichi Ichikawa (Manuscript received April 16, 2008) PHASE is a first principles molecular

More information

TEPZZ A T EP A2 (19) (11) EP A2 (12) EUROPEAN PATENT APPLICATION. (51) Int Cl.: H02M 7/483 ( )

TEPZZ A T EP A2 (19) (11) EP A2 (12) EUROPEAN PATENT APPLICATION. (51) Int Cl.: H02M 7/483 ( ) (19) TEPZZ 7849 6A T (11) EP 2 784 926 A2 (12) EUROPEAN PATENT APPLICATION (43) Date of publication: 01..14 Bulletin 14/40 (1) Int Cl.: H02M 7/483 (07.01) (21) Application number: 14162389.2 (22) Date

More information

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches Presenter: Tulika Mitra Swarup Bhunia, Massood Tabib-Azar, and Daniel Saab Electrical Eng. And

More information

Observational signatures of proto brown dwarf formation in protostellar disks

Observational signatures of proto brown dwarf formation in protostellar disks Mem. S.A.It. Vol. 84, 88 c SAIt 213 Memorie della Observational signatures of proto brown dwarf formation in protostellar disks O. V. Zakhozhay 1, E. I. Vorobyov 2,3, and M. M. Dunham 4 1 Main Astronomical

More information

Fast Hessenberg QR Iteration for Companion Matrices

Fast Hessenberg QR Iteration for Companion Matrices Fast Hessenberg QR Iteration for Companion Matrices David Bindel Ming Gu David Garmire James Demmel Shivkumar Chandrasekaran Fast Hessenberg QR Iteration for Companion Matrices p.1/20 Motivation: Polynomial

More information

Stochastic Modelling of Electron Transport on different HPC architectures

Stochastic Modelling of Electron Transport on different HPC architectures Stochastic Modelling of Electron Transport on different HPC architectures www.hp-see.eu E. Atanassov, T. Gurov, A. Karaivan ova Institute of Information and Communication Technologies Bulgarian Academy

More information

Burst overlapping of ALOS-2 PALSAR-2 ScanSAR-ScanSAR interferometry

Burst overlapping of ALOS-2 PALSAR-2 ScanSAR-ScanSAR interferometry Burst overlapping of ALOS-2 PALSAR-2 ScanSAR-ScanSAR interferometry Japan Aerospace Exploration Agency Earth Observation Research Center Ryo Natsuaki, Takeshi Motohka, Shinichi Suzuki and Masanobu Shimada

More information

Quantum Dot Structures Measuring Hamming Distance for Associative Memories

Quantum Dot Structures Measuring Hamming Distance for Associative Memories Article Submitted to Superlattices and Microstructures Quantum Dot Structures Measuring Hamming Distance for Associative Memories TAKASHI MORIE, TOMOHIRO MATSUURA, SATOSHI MIYATA, TOSHIO YAMANAKA, MAKOTO

More information

GAP3SLT33-220FP 3300 V SiC MPS Diode

GAP3SLT33-220FP 3300 V SiC MPS Diode Silicon Carbide Schottky Diode V RRM = 3300 V I F (Tc 125 C) = 0.3 A Q C = 3 nc Features High Avalanche (UIS) Capability Enhanced Surge Current Capability Superior Figure of Merit Q C /I F Low Thermal

More information

Project Two RISC Processor Implementation ECE 485

Project Two RISC Processor Implementation ECE 485 Project Two RISC Processor Implementation ECE 485 Chenqi Bao Peter Chinetti November 6, 2013 Instructor: Professor Borkar 1 Statement of Problem This project requires the design and test of a RISC processor

More information

Lecture (02) NAND and NOR Gates

Lecture (02) NAND and NOR Gates Lecture (02) NAND and NOR Gates By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 2018, CSE303 Logic design II NAND gates and NOR gates In this section we will define NAND and NOR gates. Logic

More information