Timing analysis and predictability of architectures

Size: px
Start display at page:

Download "Timing analysis and predictability of architectures"

Transcription

1 Timing analysis and predictability of architectures Cache analysis Claire Maiza Verimag/INP 01/12/2010 Claire Maiza Synchron /12/ / 18

2 Timing Analysis Frequency Analysis-guaranteed timing bounds Overest. LB BCET WCET UB Exec-time Claire Maiza Synchron /12/ / 18

3 Static Timing Analysis Input Executable Legend: Data Phase CFG Reconstruction Controlflow Graph Value Analysis Loop Bound Analysis Controlflow Analysis Annotated CFG Microarchitectural Analysis Basic Block Timing Info Path Analysis Claire Maiza Synchron /12/ / 18

4 Timing anomalies When local worst-case does not lead to the global worst-case C ready Resource 1 Resource 2 A C D B E Branch Condition Evaluated Cache Hit A Prefetch B - Miss C Resource 1 A D E Resource 2 B C Cache Miss A C Scheduling anomaly. Speculation anomaly. Claire Maiza Synchron /12/ / 18

5 Classification of architectures Timing compositional No timing anomalies e. g., ARM7 Compositional with bounded effects Timing anomalies but no domino effects e. g., TriCore (probably) Non-compositional architectures Timing anomalies, domino effects e. g., PPC 755 from Wilhelm et al.: Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-critical Embedded Systems, IEEE TCAD, July 2009 Claire Maiza Synchron /12/ / 18

6 Why LRU is predictable? LRU (Least Recently Used) forgets about past quickly:???? a a??? b b a?? c c b a? d d c b a FIFO (First In First Out):? a b c a? a b c b? a b c c? a b c d d? a b Claire Maiza Synchron /12/ / 18

7 Preemption does not come for free! The preempting task disturbs the state of performance-enhancing features like caches and pipelines. Once the preempted task resumes its execution, the disturbance may cause additional cache misses. The additional execution time due to additional cache misses is known as the cache-related preemption delay. T 1 T 2 = CRPD = Task Activation Claire Maiza Synchron /12/ / 18

8 How to take preemption cost into account? Where to account for preemption cost? Integrate into WCET Analysis: [Schneider, 2000] assume cache misses everywhere very pessimistic but easy to use in schedulability analysis WCET Analysis + CRPD Analysis: [Lee et al., 1996] WCETbound + n CRPD bound execution time of task with up to n preemptions more precise but only supported by very few schedulability analyses Claire Maiza Synchron /12/ / 18

9 CRPD Analyses Preempted Task: How many useful memory blocks are in the cache? Preempting Task: How much damage can the preempting task do to the cache contents of any task? Preempted + Preempting Task How much damage can the preempting task do to the useful cache contents of the preempted task? Claire Maiza Synchron /12/ / 18

10 Useful Cache Block Analysis What may be cached? Forward May-Analysis! Program point P What may be reused? Backward May-Analysis! minimal distance associativity? Minimal distance to reuse Useful = may be cached and may be reused Claire Maiza Synchron /12/ / 18

11 Useful Cache Block Analysis Combination of two LRU-may-analyses: What may be cached? Forward May-Analysis! What may be reused? Backward May-Analysis! P Minimal age Minimal distance to reuse Minimal age + Minimal distance to reuse associativity = Memory block may be useful Claire Maiza Synchron /12/ / 18

12 Improvement: Path Analysis Some blocks are never useful at the same time: associativity P y associativity y Literature: [Tomiyama and Dutt, 2000, Negi et al., 2003, Staschulat et al., 2007] Claire Maiza Synchron /12/ / 18

13 Analysis of Preempted and Preempting Task: Deeper Combination [Altmeyer et al., 2010] Definition (Resilience) The resilience res P (m) of memory block m at program point P is the greatest l, such that all possible next accesses to m, a) that would be hits without preemption, b) would still be hits in case of a preemption with l accesses at P. Claire Maiza Synchron /12/ / 18

14 Analysis of Preempted and Preempting Task: Deeper Combination [Altmeyer et al., 2010] Definition (Resilience) The resilience res P (m) of memory block m at program point P is the greatest l, such that all possible next accesses to m, a) that would be hits without preemption, b) would still be hits in case of a preemption with l accesses at P. m is useful res(m) = 4 preempted task m a 1 a 2 [m, _, _, _, _, _, _, _] preempting task {e 1, e 2, e 3, e 4 } a 3 m [a 3, e 4, e 3, e 2, e 1, a 2, a 1, m] [m, a 3, e 4, e 3, e 2, e 1, a 2, a 1 ] Claire Maiza Synchron /12/ / 18

15 Do existing approaches work for FIFO, PLRU, etc.? Plain answer: No! Claire Maiza Synchron /12/ / 18

16 Do existing approaches work for FIFO, PLRU, etc.? Plain answer: No! Counterexample for FIFO [Burguière et al., 2009]: ECBs = {x} [b, a] a [b, a] e [e, b] b [e, b] c [c, e] e [c, e] [x, b] a [a, x] e [e, a] b [b, e] c [c, b] e [e, c] 2 useful cache blocks 1 block loaded by the preempting task associativity = 2 But: number of additional misses= 3 2 misses 5 misses Same result for PLRU. Claire Maiza Synchron /12/ / 18

17 Idea [Burguière et al., 2009]: Use Relative Competitiveness Results Some relative competitiveness results: PLRU(n) is (1, 0)-miss-competitive relative to LRU(1 + log 2 n). FIFO(n) is ( n n r+1, r)-miss-competitive relative to LRU(r). = Performing WCET and CRPD analyses assuming LRU(1 + log 2 n) replacement should give correct bounds for PLRU(n). Can we also make use of non-(1, 0)-competitiveness? Claire Maiza Synchron /12/ / 18

18 Applying Relative Competitiveness: A sequence of memory accesses Notation: m = number of misses m = number of misses in the case of preemption m pre = 4 m post = 2 m pre = m pre = 4 m post = m post + m CRPD = 5 Claire Maiza Synchron /12/ / 18

19 Applying Relative Competitiveness: A sequence of memory accesses Notation: m = number of misses m = number of misses in the case of preemption m pre = 4 m post = 2 m pre = m pre = 4 m post = m post + m CRPD = 5 Assume P(t) is (k, c)-miss-competitive rel. to LRU(s). Then: m P(t) = m P(t) pre + m P(t) post Claire Maiza Synchron /12/ / 18

20 Applying Relative Competitiveness: A sequence of memory accesses Notation: m = number of misses m = number of misses in the case of preemption m pre = 4 m post = 2 m pre = m pre = 4 m post = m post + m CRPD = 5 Assume P(t) is (k, c)-miss-competitive rel. to LRU(s). Then: m P(t) = m P(t) pre + m P(t) post [k m LRU(s) pre + c] + [k (m LRU(s) post + m LRU(s) CRPD ) + c] Claire Maiza Synchron /12/ / 18

21 Applying Relative Competitiveness: A sequence of memory accesses Notation: m = number of misses m = number of misses in the case of preemption m pre = 4 m post = 2 m pre = m pre = 4 m post = m post + m CRPD = 5 Assume P(t) is (k, c)-miss-competitive rel. to LRU(s). Then: m P(t) = m P(t) pre + m P(t) post [k m LRU(s) pre + c] + [k (m LRU(s) post = [k m LRU(s) + c] + [k m LRU(s) CRPD + c] + m LRU(s) CRPD ) + c] Claire Maiza Synchron /12/ / 18

22 Applying Relative Competitiveness Assume P(t) is (k, c)-miss-competitive rel. to LRU(s). Then: m P(t) [k m LRU(s) + c] + [k m LRU(s) CRPD + c] In WCET analysis: Take into account k m LRU(s) + c misses In CRPD analysis: Take into account k m LRU(s) CRPD + c misses Claire Maiza Synchron /12/ / 18

23 Summary CRPD bounded using a number of reloads: a miss is the worst-case the reload cost is bounded For LRU, the CRPD can be bounded by analyzing the preempted task: useful cache block analysis the preempting task both, the preempted and the preempting task Resilience Analysis Approaches do not carry over to FIFO, PLRU, etc. immediately First approach: relative competitiveness Claire Maiza Synchron /12/ / 18

24 Altmeyer, S., Burguière, C., and Wilhelm, R. (2009). Computing the maximum blocking time for scheduling with deferred preemption. In Workshop on Software Technologies for Future Dependable Distributed Systems. Altmeyer, S., Maiza, C., and Reineke, J. (2010). Resilience analysis: Tightening the crpd bound for set-associative caches. In LCTES 10: Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems, pages , New York, NY, USA. ACM. Burguière, C., Reineke, J., and Altmeyer, S. (2009). Cache-related preemption delay computation for set-associative caches pitfalls and solutions. In Proceedings of 9th International Workshop on Worst-Case Execution Time (WCET) Analysis. Chiou, D. T. (1999). Claire Maiza Synchron /12/ / 18

25 Extending the reach of microprocessors: column and curious caching. PhD thesis. Supervisor-Arvind, and Supervisor-Rudolph, Larry. Kirk, D. B. and Strosnider, J. K. (1990). Smart (strategic memory allocation for real-time) cache design using the mips r3000. In IEEE Real-Time Systems Symposium, pages Lee, C.-G., Hahn, J., Min, S. L., Ha, R., Hong, S., Park, C. Y., Lee, M., and Kim, C. S. (1996). Analysis of cache-related preemption delay in fixed-priority preemptive scheduling. In Proceedings of the 17th IEEE Real-Time Systems Symposium (RTSS 96), page 264, Washington, DC, USA. IEEE Computer Society. Lee, S., Lee, C.-G., Lee, M., Min, S. L., and Kim, C.-S. (1998). Claire Maiza Synchron /12/ / 18

26 Limited preemptible scheduling to embrace cache memory in real-time systems. In LCTES 98: Proceedings of the ACM SIGPLAN Workshop on Languages, Compilers, and Tools for Embedded Systems, pages 51 64, London, UK. Springer-Verlag. Mueller, F. (1995). Compiler support for software-based cache partitioning. SIGPLAN Not., 30(11): Negi, H. S., Mitra, T., and Roychoudhury, A. (2003). Accurate estimation of cache-related preemption delay. In Proceedings of the 1st ACM international conference on Hardware/software codesign and system synthesis (CODES+ISSS 03), pages , New York, NY, USA. ACM. Schneider, J. (2000). Cache and pipeline sensitive fixed priority scheduling for preemptive real-time systems. Claire Maiza Synchron /12/ / 18

27 In In Proceedings of the 21st IEEE Real-Time Systems Symposium (RTSS 2000), pages Staschulat, J. et al. (2007). Scalable precision cache analysis for real-time software. Trans. on Embedded Computing Sys., 6(4):25. Tomiyama, H. and Dutt, N. D. (2000). Program path analysis to bound cache-related preemption delay in preemptive real-time systems. In Proceedings of the 8th ACM international workshop on Hardware/software codesign (CODES 00), pages 67 71, New York, NY, USA. ACM. Wolfe, A. (1994). Software-based cache partitioning for real-time applications. J. Comput. Softw. Eng., 2(3): Claire Maiza Synchron /12/ / 18

28 Deferred Preemption - simplifying the problem Restrict preemptions to a set of predefined preemption points. Introduces new problem: blocking time, time until next preemption point is reached. T 1 BT BT T 2 Context Switch Costs Task Activation Preemption Point Where to place preemptions points, s.t. CRPD is minimized, and Maximum Blocking Time is minimized. Analysis to determine maximum blocking time for given set of preemption points: [Lee et al., 1998, Altmeyer et al., 2009] Claire Maiza Synchron /12/ / 18

29 as dynamic behavior is still present within the individual partitions. Cache Partitioning - eliminating the problem Additional cache misses are due to interference on the cache. P 1 P 2 P 1 = Cache Partitioning eliminates this interference. P 2 set way cache set way cache... Software-based Cache Partitioning [Wolfe, 1994, Mueller, 1995]: Change 2^k layout of instructions and 2^k data such that tasks map to disjoint cache sets Particularly (a) Way-based difficult partitioning for large arrays (b) Set-based partitioning Figure 2.1: Way-based and set-based cache partitioning Partition cache by cache sets and/or cache ways Increases hardware cost There are two schemes in which cache partitioning can be performed. Way-based partitioning [27] allocates a number of ways (columns) to each task (Figure 2.1a). As Renewed interest in multi-cores with shared caches the... Hardware-based Cache Partitioning [Kirk and Strosnider, 1990, Chiou, 1999]: Claire Maiza Synchron /12/ / 18

30 CRPD for PLRU: Pitfalls 1 d 0 b 1 c 0 b 1 a 1 d misses ECBs = {x, y} a b c d a b c d a b c d 1 d* 0 b* 1 a b c d c* 0 a b c d a b c d a b c d b 1 a* 0 d* misses a y c x a y d x b y d x b y d c b y d c b y a c b d a c UCB(s) = 4 ECB(s) = 2 n = 4 But: number of additional misses= 5 Claire Maiza Synchron /12/ / 18

31 Resilience - Domain of the analysis D : D ca D ua (1) with and D ca : M {0,..., k 1} (2) D ua : M {0,..., k 1, } (3) Claire Maiza Synchron /12/ / 18

32 Resilience - Transfer functions t ua : D ua M D ua t ua (ua, m) := 0 m = m λm ua(m. ) ua(m ) ua(m) ua(m ) + 1 ua(m ) < ua(m) ua(m ) < k 1 otherwise (4) t ca : D ca D ua 2 M M D ca t ca (ca, ua, UCB, m) := 0 m = m m / UCB λm. ca(m ) ca(m ) ua(m) ca(m ) = k 1 ca(m ) + 1 ca(m ) < ua(m) (5) Claire Maiza Synchron /12/ / 18

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Jan Reineke @ saarland university ACACES Summer School 2017 Fiuggi, Italy computer science Fixed-Priority

More information

Cache Related Preemption Delay for Set-Associative Caches

Cache Related Preemption Delay for Set-Associative Caches Cache Related Preeption Delay for Set-Associative Caches Resilience Analysis Sebastian Alteyer, Claire Burguière, Jan Reineke AVACS Workshop, Oldenburg 2009 Why use preeptive scheduling? Preeption often

More information

Accurate Estimation of Cache-Related Preemption Delay

Accurate Estimation of Cache-Related Preemption Delay Accurate Estimation of Cache-Related Preemption Delay Hemendra Singh Negi Tulika Mitra Abhik Roychoudhury School of Computing National University of Singapore Republic of Singapore 117543. [hemendra,tulika,abhik]@comp.nus.edu.sg

More information

Integrating Cache Related Preemption Delay Analysis into EDF Scheduling

Integrating Cache Related Preemption Delay Analysis into EDF Scheduling Integrating Cache Related Preemption Delay Analysis into EDF Scheduling Will Lunniss 1 Sebastian Altmeyer 2 Claire Maiza 3 Robert I. Davis 1 1 Real-Time Systems Research Group, University of York, UK {wl510,

More information

Cache related pre-emption delay aware response time analysis for fixed priority pre-emptive systems

Cache related pre-emption delay aware response time analysis for fixed priority pre-emptive systems Cache related pre-emption delay aware response time analysis for fixed priority pre-emptive systems Sebastian Altmeyer Compiler Design Lab Saarland University, Germany Email: altmeyer@cs.uni-saarland.de

More information

Worst-Case Execution Time Analysis. LS 12, TU Dortmund

Worst-Case Execution Time Analysis. LS 12, TU Dortmund Worst-Case Execution Time Analysis Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 09/10, Jan., 2018 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 43 Most Essential Assumptions for Real-Time Systems Upper

More information

Toward Precise PLRU Cache Analysis

Toward Precise PLRU Cache Analysis Toward Precise PLRU Cache Analysis Daniel Grund Jan Reineke 2 Saarland University, Saarbrücken, Germany 2 University of California, Berkeley, USA Workshop on Worst-Case Execution-Time Analysis 2 Outline

More information

Worst-Case Execution Time Analysis. LS 12, TU Dortmund

Worst-Case Execution Time Analysis. LS 12, TU Dortmund Worst-Case Execution Time Analysis Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 02, 03 May 2016 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 53 Most Essential Assumptions for Real-Time Systems Upper

More information

Timing analysis and timing predictability

Timing analysis and timing predictability Timing analysis and timing predictability Caches in WCET Analysis Reinhard Wilhelm 1 Jan Reineke 2 1 Saarland University, Saarbrücken, Germany 2 University of California, Berkeley, USA ArtistDesign Summer

More information

Integrating Cache Related Pre-emption Delay Analysis into EDF Scheduling

Integrating Cache Related Pre-emption Delay Analysis into EDF Scheduling Integrating Cache Related Pre-emption Delay Analysis into EDF Scheduling 1 Department of Computer Science University of York York, UK {wl510,rob.davis}@york.ac.uk Will Lunniss 1, Sebastian Altmeyer 2,

More information

Caches in WCET Analysis

Caches in WCET Analysis Caches in WCET Analysis Jan Reineke Department of Computer Science Saarland University Saarbrücken, Germany ARTIST Summer School in Europe 2009 Autrans, France September 7-11, 2009 Jan Reineke Caches in

More information

Embedded Systems Development

Embedded Systems Development Embedded Systems Development Lecture 3 Real-Time Scheduling Dr. Daniel Kästner AbsInt Angewandte Informatik GmbH kaestner@absint.com Model-based Software Development Generator Lustre programs Esterel programs

More information

Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks

Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks Moonju Park Ubiquitous Computing Lab., IBM Korea, Seoul, Korea mjupark@kr.ibm.com Abstract. This paper addresses the problem of

More information

Lecture 13. Real-Time Scheduling. Daniel Kästner AbsInt GmbH 2013

Lecture 13. Real-Time Scheduling. Daniel Kästner AbsInt GmbH 2013 Lecture 3 Real-Time Scheduling Daniel Kästner AbsInt GmbH 203 Model-based Software Development 2 SCADE Suite Application Model in SCADE (data flow + SSM) System Model (tasks, interrupts, buses, ) SymTA/S

More information

Non-Preemptive and Limited Preemptive Scheduling. LS 12, TU Dortmund

Non-Preemptive and Limited Preemptive Scheduling. LS 12, TU Dortmund Non-Preemptive and Limited Preemptive Scheduling LS 12, TU Dortmund 09 May 2017 (LS 12, TU Dortmund) 1 / 31 Outline Non-Preemptive Scheduling A General View Exact Schedulability Test Pessimistic Schedulability

More information

A Definition and Classification of Timing Anomalies

A Definition and Classification of Timing Anomalies Definition and Classification of Timing nomalies Jan Reineke 1, Björn Wachter 1, Stephan Thesing 1, Reinhard Wilhelm 1, Ilia Polian 2, Jochen Eisinger 2, and Bernd Becker 2 1 Saarland University Im Stadtwald

More information

Embedded Systems 23 BF - ES

Embedded Systems 23 BF - ES Embedded Systems 23-1 - Measurement vs. Analysis REVIEW Probability Best Case Execution Time Unsafe: Execution Time Measurement Worst Case Execution Time Upper bound Execution Time typically huge variations

More information

Preemption points placement for sporadic task sets

Preemption points placement for sporadic task sets Preemption points placement for sporadic task sets Marko Bertogna, Giorgio Buttazzo, Mauro Marinoni, Gang Yao, Francesco Esposito Scuola Superiore Sant Anna Pisa, Italy Email: {name.surname}@sssup.it Marco

More information

Design and Analysis of Time-Critical Systems Cache Analysis and Predictability

Design and Analysis of Time-Critical Systems Cache Analysis and Predictability esign and nalysis of Time-ritical Systems ache nalysis and Predictability Jan Reineke @ saarland university ES Summer School 2017 Fiuggi, Italy computer science n nalogy alvin and Hobbes by Bill Watterson

More information

There are three priority driven approaches that we will look at

There are three priority driven approaches that we will look at Priority Driven Approaches There are three priority driven approaches that we will look at Earliest-Deadline-First (EDF) Least-Slack-Time-first (LST) Latest-Release-Time-first (LRT) 1 EDF Earliest deadline

More information

Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems

Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems Sangchul Han and Minkyu Park School of Computer Science and Engineering, Seoul National University, Seoul,

More information

Lecture Note #6: More on Task Scheduling EECS 571 Principles of Real-Time Embedded Systems Kang G. Shin EECS Department University of Michigan

Lecture Note #6: More on Task Scheduling EECS 571 Principles of Real-Time Embedded Systems Kang G. Shin EECS Department University of Michigan Lecture Note #6: More on Task Scheduling EECS 571 Principles of Real-Time Embedded Systems Kang G. Shin EECS Department University of Michigan Note 6-1 Mars Pathfinder Timing Hiccups? When: landed on the

More information

RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES

RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES Department for Embedded Systems/Real-Time Systems, University of Ulm {name.surname}@informatik.uni-ulm.de Abstract:

More information

Schedulability Analysis for the Abort-and-Restart (AR) Model

Schedulability Analysis for the Abort-and-Restart (AR) Model Schedulability Analysis for the Abort-and-Restart (AR) Model ABSTRACT H.C. Wong Real-Time Systems Research Group, Department of Computer Science, University of York, UK. hw638@york.ac.uk This paper addresses

More information

Analysis and Implementation of Global Preemptive Fixed-Priority Scheduling with Dynamic Cache Allocation*

Analysis and Implementation of Global Preemptive Fixed-Priority Scheduling with Dynamic Cache Allocation* Analysis and Implementation of Global Preemptive Fixed-Priority Scheduling with Dynamic Cache Allocation* Meng Xu Linh Thi Xuan Phan Hyon-Young Choi Insup Lee University of Pennsylvania Abstract We introduce

More information

Analysis of Preemptively Scheduled Hard Real-Time Systems

Analysis of Preemptively Scheduled Hard Real-Time Systems Analysis of Preemptively Sheduled Hard Real-Time Systems Seastian Altmeyer Otoer 25th, 2012 Hard Real-Time Systems emedded systems with stringent timing onstraints (deadlines) missing a deadline is a omplete

More information

Embedded Systems 14. Overview of embedded systems design

Embedded Systems 14. Overview of embedded systems design Embedded Systems 14-1 - Overview of embedded systems design - 2-1 Point of departure: Scheduling general IT systems In general IT systems, not much is known about the computational processes a priori The

More information

Multiprocessor Scheduling of Age Constraint Processes

Multiprocessor Scheduling of Age Constraint Processes Multiprocessor Scheduling of Age Constraint Processes Lars Lundberg Department of Computer Science, University of Karlskrona/Ronneby, Soft Center, S-372 25 Ronneby, Sweden, email: Lars.Lundberg@ide.hk-r.se

More information

Task Models and Scheduling

Task Models and Scheduling Task Models and Scheduling Jan Reineke Saarland University June 27 th, 2013 With thanks to Jian-Jia Chen at KIT! Jan Reineke Task Models and Scheduling June 27 th, 2013 1 / 36 Task Models and Scheduling

More information

CIS 4930/6930: Principles of Cyber-Physical Systems

CIS 4930/6930: Principles of Cyber-Physical Systems CIS 4930/6930: Principles of Cyber-Physical Systems Chapter 11 Scheduling Hao Zheng Department of Computer Science and Engineering University of South Florida H. Zheng (CSE USF) CIS 4930/6930: Principles

More information

Networked Embedded Systems WS 2016/17

Networked Embedded Systems WS 2016/17 Networked Embedded Systems WS 2016/17 Lecture 2: Real-time Scheduling Marco Zimmerling Goal of Today s Lecture Introduction to scheduling of compute tasks on a single processor Tasks need to finish before

More information

Shedding the Shackles of Time-Division Multiplexing

Shedding the Shackles of Time-Division Multiplexing Shedding the Shackles of Time-Division Multiplexing Farouk Hebbache with Florian Brandner, 2 Mathieu Jan, Laurent Pautet 2 CEA List, LS 2 LTCI, Télécom ParisTech, Université Paris-Saclay Multi-core Architectures

More information

On the analysis of random replacement caches using static probabilistic timing methods for multi-path programs

On the analysis of random replacement caches using static probabilistic timing methods for multi-path programs Real-Time Syst (208) 54:307 388 https://doi.org/0.007/s24-07-9295-2 On the analysis of random replacement caches using static probabilistic timing methods for multi-path programs Benjamin Lesage David

More information

Embedded Systems 15. REVIEW: Aperiodic scheduling. C i J i 0 a i s i f i d i

Embedded Systems 15. REVIEW: Aperiodic scheduling. C i J i 0 a i s i f i d i Embedded Systems 15-1 - REVIEW: Aperiodic scheduling C i J i 0 a i s i f i d i Given: A set of non-periodic tasks {J 1,, J n } with arrival times a i, deadlines d i, computation times C i precedence constraints

More information

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Abhilash Thekkilakattil, Radu Dobrin and Sasikumar Punnekkat Mälardalen Real-Time Research Center, Mälardalen University,

More information

Improved Priority Assignment for the Abort-and-Restart (AR) Model

Improved Priority Assignment for the Abort-and-Restart (AR) Model Improved Priority Assignment for the Abort-and-Restart (AR) Model H.C. Wong and A. Burns Department of Computer Science, University of York, UK. February 1, 2013 Abstract This paper addresses the scheduling

More information

Explicit Preemption Placement for Real-Time Conditional Code

Explicit Preemption Placement for Real-Time Conditional Code Explicit Preemption Placement for Real-Time Conditional Code Bo Peng, Nathan Fisher, and Marko Bertogna 2 Department of Computer Science, Wayne State University, USA. Email: {fishern,et7889}@wayne.edu

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems

The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems Zhishan Guo and Sanjoy Baruah Department of Computer Science University of North Carolina at Chapel

More information

Real-Time Systems. Event-Driven Scheduling

Real-Time Systems. Event-Driven Scheduling Real-Time Systems Event-Driven Scheduling Marcus Völp, Hermann Härtig WS 2013/14 Outline mostly following Jane Liu, Real-Time Systems Principles Scheduling EDF and LST as dynamic scheduling methods Fixed

More information

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms University of Pennsylvania ScholarlyCommons Departmental Papers (CIS) Department of Computer & Information Science 12-2013 Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

More information

MIRROR: Symmetric Timing Analysis for Real-Time Tasks on Multicore Platforms with Shared Resources

MIRROR: Symmetric Timing Analysis for Real-Time Tasks on Multicore Platforms with Shared Resources MIRROR: Symmetric Timing Analysis for Real-Time Tasks on Multicore Platforms with Shared Resources Wen-Hung Huang, Jian-Jia Chen Department of Computer Science TU Dortmund University, Germany wen-hung.huang@tu-dortmund.de

More information

Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus

Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus Devesh B. Chokshi and Purandar Bhaduri Department of Computer Science and Engineering Indian Institute of Technology Guwahati,

More information

Real-Time Scheduling and Resource Management

Real-Time Scheduling and Resource Management ARTIST2 Summer School 2008 in Europe Autrans (near Grenoble), France September 8-12, 2008 Real-Time Scheduling and Resource Management Lecturer: Giorgio Buttazzo Full Professor Scuola Superiore Sant Anna

More information

Schedulability Analysis for the Abort-and-Restart Model

Schedulability Analysis for the Abort-and-Restart Model Schedulability Analysis for the Abort-and-Restart Model Hing Choi Wong Doctor of Philosophy University of York Computer Science December 2014 Abstract In real-time systems, a schedulable task-set guarantees

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

Fall 2008 CSE Qualifying Exam. September 13, 2008

Fall 2008 CSE Qualifying Exam. September 13, 2008 Fall 2008 CSE Qualifying Exam September 13, 2008 1 Architecture 1. (Quan, Fall 2008) Your company has just bought a new dual Pentium processor, and you have been tasked with optimizing your software for

More information

Bounding the Maximum Length of Non-Preemptive Regions Under Fixed Priority Scheduling

Bounding the Maximum Length of Non-Preemptive Regions Under Fixed Priority Scheduling Bounding the Maximum Length of Non-Preemptive Regions Under Fixed Priority Scheduling Gang Yao, Giorgio Buttazzo and Marko Bertogna Scuola Superiore Sant Anna, Pisa, Italy {g.yao, g.buttazzo, m.bertogna}@sssup.it

More information

Worst-case response time analysis of real-time tasks under fixed-priority scheduling with deferred preemption revisited

Worst-case response time analysis of real-time tasks under fixed-priority scheduling with deferred preemption revisited Worst-case response time analysis of real-time tasks under fixed-priority scheduling with deferred preemption revisited Reinder J. Bril and Johan J. Lukkien Technische Universiteit Eindhoven (TU/e), en

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 3 Real-Time Services Part 2 (Rate Monotonic Theory - Policy and Feasibility for RT Services) September 7, 2018 Sam Siewert Quick Review Service Utility RM Policy, Feasibility,

More information

Bounding the End-to-End Response Times of Tasks in a Distributed. Real-Time System Using the Direct Synchronization Protocol.

Bounding the End-to-End Response Times of Tasks in a Distributed. Real-Time System Using the Direct Synchronization Protocol. Bounding the End-to-End Response imes of asks in a Distributed Real-ime System Using the Direct Synchronization Protocol Jun Sun Jane Liu Abstract In a distributed real-time system, a task may consist

More information

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach Process Scheduling for RTS Dr. Hugh Melvin, Dept. of IT, NUI,G RTS Scheduling Approach RTS typically control multiple parameters concurrently Eg. Flight Control System Speed, altitude, inclination etc..

More information

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms University of Pennsylvania ScholarlyCommons Departmental Papers (CIS) Department of Computer & Information Science -25 Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

More information

Time and Schedulability Analysis of Stateflow Models

Time and Schedulability Analysis of Stateflow Models Time and Schedulability Analysis of Stateflow Models Marco Di Natale Scuola Superiore S. Anna Haibo Zeng Mc Gill University Outline Context: MBD of Embedded Systems Relationship with PBD An Introduction

More information

Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores

Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores Florian Brandner with Farouk Hebbache, 2 Mathieu Jan, 2 Laurent Pautet LTCI, Télécom ParisTech, Université Paris-Saclay 2 CEA

More information

arxiv: v1 [cs.os] 6 Jun 2013

arxiv: v1 [cs.os] 6 Jun 2013 Partitioned scheduling of multimode multiprocessor real-time systems with temporal isolation Joël Goossens Pascal Richard arxiv:1306.1316v1 [cs.os] 6 Jun 2013 Abstract We consider the partitioned scheduling

More information

Announcements. Project #1 grades were returned on Monday. Midterm #1. Project #2. Requests for re-grades due by Tuesday

Announcements. Project #1 grades were returned on Monday. Midterm #1. Project #2. Requests for re-grades due by Tuesday Announcements Project #1 grades were returned on Monday Requests for re-grades due by Tuesday Midterm #1 Re-grade requests due by Monday Project #2 Due 10 AM Monday 1 Page State (hardware view) Page frame

More information

Special Nodes for Interface

Special Nodes for Interface fi fi Special Nodes for Interface SW on processors Chip-level HW Board-level HW fi fi C code VHDL VHDL code retargetable compilation high-level synthesis SW costs HW costs partitioning (solve ILP) cluster

More information

Aperiodic Task Scheduling

Aperiodic Task Scheduling Aperiodic Task Scheduling Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2017 年 11 月 29 日 These slides use Microsoft clip arts. Microsoft copyright

More information

3. Scheduling issues. Common approaches 3. Common approaches 1. Preemption vs. non preemption. Common approaches 2. Further definitions

3. Scheduling issues. Common approaches 3. Common approaches 1. Preemption vs. non preemption. Common approaches 2. Further definitions Common approaches 3 3. Scheduling issues Priority-driven (event-driven) scheduling This class of algorithms is greedy They never leave available processing resources unutilized An available resource may

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 10

ECE 571 Advanced Microprocessor-Based Design Lecture 10 ECE 571 Advanced Microprocessor-Based Design Lecture 10 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 23 February 2017 Announcements HW#5 due HW#6 will be posted 1 Oh No, More

More information

Deadline Analysis of AUTOSAR OS Periodic Tasks in the Presence of Interrupts

Deadline Analysis of AUTOSAR OS Periodic Tasks in the Presence of Interrupts Deadline Analysis of AUTOSAR OS Periodic Tasks in the Presence of Interrupts Yanhong Huang 1, João F. Ferreira 2,3, Guanhua He 2, Shengchao Qin 2,4, and Jifeng He 1 1 East China Normal University 2 Teesside

More information

Real-Time Scheduling. Real Time Operating Systems and Middleware. Luca Abeni

Real-Time Scheduling. Real Time Operating Systems and Middleware. Luca Abeni Real Time Operating Systems and Middleware Luca Abeni luca.abeni@unitn.it Definitions Algorithm logical procedure used to solve a problem Program formal description of an algorithm, using a programming

More information

Embedded systems engineering Distributed real-time systems

Embedded systems engineering Distributed real-time systems Embedded systems engineering Distributed real-time systems David Kendall David Kendall CM0605/KF6010 Lecture 09 1 / 15 Time is Central to Real-time and Embedded Systems Several timing analysis problems:

More information

Optimal Utilization Bounds for the Fixed-priority Scheduling of Periodic Task Systems on Identical Multiprocessors. Sanjoy K.

Optimal Utilization Bounds for the Fixed-priority Scheduling of Periodic Task Systems on Identical Multiprocessors. Sanjoy K. Optimal Utilization Bounds for the Fixed-priority Scheduling of Periodic Task Systems on Identical Multiprocessors Sanjoy K. Baruah Abstract In fixed-priority scheduling the priority of a job, once assigned,

More information

Preemption-Aware Dynamic Voltage Scaling in Hard Real-Time Systems

Preemption-Aware Dynamic Voltage Scaling in Hard Real-Time Systems 14.4 Preemption-Aware Dynamic Voltage Scaling in Hard Real-Time Systems Woonseok Kim Jihong Kim Sang Lyul Min School of Computer Science and Engineering Seoul National University ENG4190, Seoul, Korea,

More information

Automatic Loop Interchange

Automatic Loop Interchange RETROSPECTIVE: Automatic Loop Interchange Randy Allen Catalytic Compilers 1900 Embarcadero Rd, #206 Palo Alto, CA. 94043 jra@catacomp.com Ken Kennedy Department of Computer Science Rice University Houston,

More information

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Dongkun Shin Jihong Kim School of CSE School of CSE Seoul National University Seoul National University Seoul, Korea 151-742 Seoul, Korea

More information

Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling

Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling Preemption Delay Analysis for Floating Non-Preemptive Region Scheduling José Marinho, Vincent Nélis, Stefan M. Petters, Isaelle Puaut To cite this version: José Marinho, Vincent Nélis, Stefan M. Petters,

More information

Schedulability analysis of global Deadline-Monotonic scheduling

Schedulability analysis of global Deadline-Monotonic scheduling Schedulability analysis of global Deadline-Monotonic scheduling Sanjoy Baruah Abstract The multiprocessor Deadline-Monotonic (DM) scheduling of sporadic task systems is studied. A new sufficient schedulability

More information

Module 5: CPU Scheduling

Module 5: CPU Scheduling Module 5: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation 5.1 Basic Concepts Maximum CPU utilization obtained

More information

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries Scheduling of Frame-based Embedded Systems with Rechargeable Batteries André Allavena Computer Science Department Cornell University Ithaca, NY 14853 andre@cs.cornell.edu Daniel Mossé Department of Computer

More information

Chapter 6: CPU Scheduling

Chapter 6: CPU Scheduling Chapter 6: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation 6.1 Basic Concepts Maximum CPU utilization obtained

More information

ICS 233 Computer Architecture & Assembly Language

ICS 233 Computer Architecture & Assembly Language ICS 233 Computer Architecture & Assembly Language Assignment 6 Solution 1. Identify all of the RAW data dependencies in the following code. Which dependencies are data hazards that will be resolved by

More information

Deadlines misses and their Implication on Feedback Control Loops. Dip Goswami Eindhoven University of Technology (TU/e) The Netherlands

Deadlines misses and their Implication on Feedback Control Loops. Dip Goswami Eindhoven University of Technology (TU/e) The Netherlands Deadlines misses and their Implication on Feedback Control Loops Dip Goswami Eindhoven University of Technology (TU/e) The Netherlands Periodic tasks dd ii 2 Periodic tasks dd ii Hard deadlines 3 Periodic

More information

Rate-monotonic scheduling on uniform multiprocessors

Rate-monotonic scheduling on uniform multiprocessors Rate-monotonic scheduling on uniform multiprocessors Sanjoy K. Baruah The University of North Carolina at Chapel Hill Email: baruah@cs.unc.edu Joël Goossens Université Libre de Bruxelles Email: joel.goossens@ulb.ac.be

More information

EECS 571 Principles of Real-Time Embedded Systems. Lecture Note #7: More on Uniprocessor Scheduling

EECS 571 Principles of Real-Time Embedded Systems. Lecture Note #7: More on Uniprocessor Scheduling EECS 571 Principles of Real-Time Embedded Systems Lecture Note #7: More on Uniprocessor Scheduling Kang G. Shin EECS Department University of Michigan Precedence and Exclusion Constraints Thus far, we

More information

Rate Monotonic Analysis (RMA)

Rate Monotonic Analysis (RMA) Rate Monotonic Analysis (RMA) ktw@csie.ntu.edu.tw (Real-Time and Embedded System Laboratory) Major References: An Introduction to Rate Monotonic Analysis Tutorial Notes SEI MU* Distributed Real-Time System

More information

Clock-driven scheduling

Clock-driven scheduling Clock-driven scheduling Also known as static or off-line scheduling Michal Sojka Czech Technical University in Prague, Faculty of Electrical Engineering, Department of Control Engineering November 8, 2017

More information

Real-time Systems: Scheduling Periodic Tasks

Real-time Systems: Scheduling Periodic Tasks Real-time Systems: Scheduling Periodic Tasks Advanced Operating Systems Lecture 15 This work is licensed under the Creative Commons Attribution-NoDerivatives 4.0 International License. To view a copy of

More information

CHAPTER 5 - PROCESS SCHEDULING

CHAPTER 5 - PROCESS SCHEDULING CHAPTER 5 - PROCESS SCHEDULING OBJECTIVES To introduce CPU scheduling, which is the basis for multiprogrammed operating systems To describe various CPU-scheduling algorithms To discuss evaluation criteria

More information

Andrew Morton University of Waterloo Canada

Andrew Morton University of Waterloo Canada EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo Canada Outline 1) Introduction and motivation 2) Review of EDF and feasibility analysis 3) Hardware accelerators and scheduling

More information

Exact speedup factors and sub-optimality for non-preemptive scheduling

Exact speedup factors and sub-optimality for non-preemptive scheduling Real-Time Syst (2018) 54:208 246 https://doi.org/10.1007/s11241-017-9294-3 Exact speedup factors and sub-optimality for non-preemptive scheduling Robert I. Davis 1 Abhilash Thekkilakattil 2 Oliver Gettings

More information

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu Performance Metrics for Computer Systems CASS 2018 Lavanya Ramapantulu Eight Great Ideas in Computer Architecture Design for Moore s Law Use abstraction to simplify design Make the common case fast Performance

More information

Robust and Extensible Task Implementations of Synchronous Finite State Machines

Robust and Extensible Task Implementations of Synchronous Finite State Machines Robust and Extensible Task Implementations of Synchronous Finite State Machines Qi Zhu UC Riverside qzhu@ee.ucr.edu Peng Deng UC Riverside pdeng002@ucr.edu Marco Di Natale Scuola Superiore S. Anna marco@sssup.it

More information

Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions

Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions Mitra Nasri Chair of Real-time Systems, Technische Universität Kaiserslautern, Germany nasri@eit.uni-kl.de

More information

Runtime feasibility check for non-preemptive real-time periodic tasks

Runtime feasibility check for non-preemptive real-time periodic tasks Information Processing Letters 97 (2006) 83 87 www.elsevier.com/locate/ipl Runtime feasibility check for non-preemptive real-time periodic tasks Sangwon Kim, Joonwon Lee, Jinsoo Kim Division of Computer

More information

Non-preemptive multiprocessor scheduling of strict periodic systems with precedence constraints

Non-preemptive multiprocessor scheduling of strict periodic systems with precedence constraints Non-preemptive multiprocessor scheduling of strict periodic systems with precedence constraints Liliana Cucu, Yves Sorel INRIA Rocquencourt, BP 105-78153 Le Chesnay Cedex, France liliana.cucu@inria.fr,

More information

Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling

Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling Yifan Zhu and Frank Mueller (mueller@cs.ncsu.edu) Department of Computer Science/ Center for Embedded Systems Research, North

More information

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Yves MOUAFO Supervisors A. CHOQUET-GENIET, G. LARGETEAU-SKAPIN OUTLINES 2 1. Context and Problematic 2. State of the art

More information

Leveraging Transactional Memory for a Predictable Execution of Applications Composed of Hard Real-Time and Best-Effort Tasks

Leveraging Transactional Memory for a Predictable Execution of Applications Composed of Hard Real-Time and Best-Effort Tasks Leveraging Transactional Memory for a Predictable Execution of Applications Composed of Hard Real-Time and Best-Effort Tasks Stefan Metzlaff, Sebastian Weis, and Theo Ungerer Department of Computer Science,

More information

Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors

Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors Technical Report No. 2009-7 Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors RISAT MAHMUD PATHAN JAN JONSSON Department of Computer Science and Engineering CHALMERS UNIVERSITY

More information

A New Task Model and Utilization Bound for Uniform Multiprocessors

A New Task Model and Utilization Bound for Uniform Multiprocessors A New Task Model and Utilization Bound for Uniform Multiprocessors Shelby Funk Department of Computer Science, The University of Georgia Email: shelby@cs.uga.edu Abstract This paper introduces a new model

More information

Issue = Select + Wakeup. Out-of-order Pipeline. Issue. Issue = Select + Wakeup. OOO execution (2-wide) OOO execution (2-wide)

Issue = Select + Wakeup. Out-of-order Pipeline. Issue. Issue = Select + Wakeup. OOO execution (2-wide) OOO execution (2-wide) Out-of-order Pipeline Buffer of instructions Issue = Select + Wakeup Select N oldest, read instructions N=, xor N=, xor and sub Note: ma have execution resource constraints: i.e., load/store/fp Fetch Decode

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

A framework for the timing analysis of dynamic branch predictors

A framework for the timing analysis of dynamic branch predictors A framework for the timing analysis of dynamic ranch predictors Claire Maïza INP Grenole, Verimag Grenole, France claire.maiza@imag.fr Christine Rochange IRIT - CNRS Université de Toulouse, France rochange@irit.fr

More information

Mapping to Parallel Hardware

Mapping to Parallel Hardware - Mapping to Parallel Hardware Bruno Bodin University of Edinburgh, United Kingdom 1 / 27 Context - Hardware Samsung Exynos ARM big-little (Heterogenenous), 4 ARM A15, 4 ARM A7, 2-16 cores GPU, 5 Watt.

More information

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Dongkun Shin School of Computer Science and Engineering Seoul National University sdk@davinci.snu.ac.kr Jihong Kim

More information

Tardiness Bounds under Global EDF Scheduling on a. Multiprocessor

Tardiness Bounds under Global EDF Scheduling on a. Multiprocessor Tardiness Bounds under Global EDF Scheduling on a Multiprocessor UmaMaheswari C. Devi and James H. Anderson Department of Computer Science The University of North Carolina at Chapel Hill Abstract We consider

More information

Comp 204: Computer Systems and Their Implementation. Lecture 11: Scheduling cont d

Comp 204: Computer Systems and Their Implementation. Lecture 11: Scheduling cont d Comp 204: Computer Systems and Their Implementation Lecture 11: Scheduling cont d 1 Today Scheduling algorithms continued Shortest remaining time first (SRTF) Priority scheduling Round robin (RR) Multilevel

More information