Delay Testing from the Ivory Tower to Tools in the Workshop

Size: px
Start display at page:

Download "Delay Testing from the Ivory Tower to Tools in the Workshop"

Transcription

1 Delay Testing from the Ivory Tower to Tools in the Workshop Einar Johan Aas Department of Electronics and Telecommunications, NTNU Nordic Test Forum, Tallinn, 25. November 2008 Name, title of the presentation

2 OUTLINE Outline Introduction to delay testing Delay fault models Built-In Self Test tactics for path delay faults Current status of application in Norway Trends Conclusion

3 Some modern products

4 Why testing? Introduction to testing Why testing?

5

6 Testing of an integrated circuit Introduction to testing HOW do you test a circuit with half a billion GHz-transistors? At-speed?

7 Testing of an integrated circuit Introduction to testing TESTER

8 Testing of an integrated circuit Introduction to testing Alt1: Use Expensive tester EXPENSIVE TESTER PRICE: CHANNEL COUNT: VECTOR MEMORY: SPEED:

9 Testing of an integrated circuit Alt2: Use less expensive tester: Leave some of the test job to the chip. Add de-compressor/compressor on chip Introduction to testing

10 Testing of an integrated circuit Introduction to testing Alt3: Use Cheaper tester + Built-In Self-Test Leave most of the test job to the chip CHEAPER TESTER PRICE: CHANNEL COUNT: Built-In Self-Test VECTOR MEMORY: SPEED:

11 Built-In Self-Test Built-In Self-Test facilitates: At-speed testing - Shorter test application time - Cheaper testers can be used Implemented in both hardware and software

12 Software based Built-In Self-Test Test Procedure 1. Upload test program to cache 2. Test CPU 3. Use CPU to test other modules 4. Send out result CACHE CPU GPU UART B.TOOTH MPEG

13 Defect types WHAT can go wrong during the manufacturing process of an integrated circuit? Chinese proverb: There are a thousand diseases but only one healthy state..

14 Defect types Spot defects Distributed defects

15 Defect types Short Spot defects Open Distributed defects Variations in: - oxide thickness - doping - polysilicon thickness.

16 Delay fault: output arrives too late Circuit Under Test CUT passed the delay test Circuit Under Test CUT did not pass the delay test

17 Delay Fault models Transition delay fault model Gate delay fault model Line delay fault model Path delay fault model Segment delay fault model

18 Delay Fault models Transition delay fault model Gate delay fault model Line delay fault model Path delay fault model Segment delay fault model

19 Transition Delay Fault Model Delay fault model based spot defects Assumes the delay fault affects only one gate Slow-to-rise or Slow-to-fall A path through the slow gate can not reach any output in time: T(delay) > T(clock)

20 Transition Delay Fault Model Similarities with stuck-at fault model: Slow-to-rise : infinitely slow-to-rise Ξ stuck-at-0 Slow-to-fall : infinitely slow-to-fall Ξ stuck-at-1 No. of transition delay faults < no. of stuck-at faults Method to generate test vectors: similar to stuck-at test vectors; but needs vector pair to propagate transition. Important difference: propagate through longest path from faulty gate No. of test vectors: typically 2-3 times as many as stuck-at vectors

21 Path Delay Fault Model Delay fault model based on distributed defects that accumulate along some path A path has a path-delay fault if T(delay) > T(clock)

22 PATH DELAY FAULT MODEL Classification of Faults Classification of tests according to quality: A test that has low chance of being invalidated by delays on other paths than the target path, is a high quality test. High Quality Test - example: Can not be invalidated Single path sensitizable fault (SS) MWSCAS 2005

23 PATH DELAY FAULT MODEL Classification of Faults Lower Quality Test: Can be invalidated 2) Must arrive last 3) -or else no transition can be observed non-robust (NR) test 1) Must arrive first

24 Path Delay Fault Model Complexity? No. of paths? Finding all paths? Method for vector pair generation? Implementation of Design for Testability logic?

25 Benefits and problems of the path-delay fault model Detects distributed defects caused by process variations. But also detects spot defects. Number of paths can be exponential due to reconvergent fanout. 2 n paths from input x to output y

26 Example of paths in a moderately large circuit (c1908): More than paths in that circuit!

27 General requirements: Two pattern tests T = (v 1, v 2 ) Test of a Path-Delay Fault

28 Test of a Path-Delay Fault General requirements: Two pattern tests T = (v 1, v 2 ) Hold v1 until all signals reach their final value (typically 2T) v2 fire transition at target path [v 1,v 2 ] [0,0] [1,1] [0,1] [0,0] [0,0]

29 Test of a Path-Delay Fault General requirements: Two pattern tests T = (v 1, v 2 ) Hold v1 until all signals reach their final value v2 fire transition at target path Transition must be propagated along target path Propagated transition: [1,1] Blocked transition: [0,0] [0,0] [v 1,v 2 ] [0,0] [1,1] [0,1] [0,0] [0,0]

30 Test of a Path-Delay Fault General requirements: Two pattern tests T = (v 1, v 2 ) Hold v1 until all signals reach their final value v2 fire transition at target path Transition must be propagated along target path PDF detected if transition arrives late at output Propagated transition: [1,1] Blocked transition: [0,0] [0,0] [v 1,v 2 ] [0,0] [0,0] [1,1] [1,0] [1,0] [0,1] [0,1] [0,1] [0,0] [0,0] [1,1] [0,0] [1,1] [1,1] [0,0] [0,0]

31 Non-robust testable path delay faults Non-robust propagation: Off-inputs: non-controlling values under v 2. Non-robust test: Guarantees to detect a path-delay fault, when no other path-delay fault is present.

32 Simulation alphabet We need to model two-vector events: stable values single transition transitions and settling value Several alphabets exist...

33 Simulation alphabet Smith s alphabet: [S0, S1, P0, P1, -0, -1] S0: Stable 0 through both test patterns (corresponds to [0,0]) S1: Stable 1 through both test patterns (corresponds to [1,1]) P0: Transition 1->0. (corresponds to [1, 0]) P1: Transition 0->1. (corresponds to [0, 1]) -0 : zero or some transitions before settling to 0-1 : zero or some transitions before settling to 1

34 Contribution to PDF BIST research [Gj 06] Experimentation platform for PDF BIST Research -Event based simulator -Exact fault grading -PDF ATPG for longest paths - Result analysis tools - Grid computing Path Delay Fault Model (PDF) (Smith) PDF Classification (Cheng, Chen) Enumerative PDF simulator (Kapoor) FAN Algorithm (Fujiwara, Shimono) Automatic Test Pattern Generator for K longest paths (Qiu and Walker)

35 Experimentation platform for PDF BIST Research -Event based simulator -Exact fault grading -PDF ATPG for longest paths - Result analysis tools - Grid computing Experiments - weighting schemes - accumulator based generators Path Delay Fault Model (PDF) (Smith) PDF Classification (Cheng, Chen) Enumerative PDF simulator (Kapoor) FAN Algorithm (Fujiwara, Shimono) Automatic Test Pattern Generator for K longest paths (Qiu and Walker) Weighted pseudo random generators (Schnurmann, ) Arithmetic Built-In Self-Test (Gupta, Rajski, Tyszer)

36 Looking for: Single Input Change tests Proven to be most efficient for path delay fault detection

37 Stimuli generators GA: Accumulator based generators A i+1 = (A i + C) mod 2 n, A 0 = I Low complexity Not the best pseudo-random properties

38 Stimuli generators random types GA: Accumulator based generators A i+1 = (A i + C) mod 2 n, A 0 = I Low complexity Not the best pseudo-random properties GT: [golden] Mersenne-Twister based generators Period: High complexity Very good pseudo-random properties Mersenne Twister based generator n CUT

39 Stimuli generators GA: Accumulator based generators A i+1 = (A i + C) mod 2 n, A 0 = I Low complexity Not the best pseudo-random properties GT: Mersenne-Twister based generators Period: High complexity Very good pseudo-random properties Implemented as small test programs to be executed from the CPU. Mersenne Twister based generator n CUT

40 BASIS patterns and Single Input Change (SIC) tests BASIS patterns BASIS patterns in Smith s alphabet SIC tests applied to CUT

41 Stimuli generators Stimuli generators GA: Accumulator based generators Three different tactics: Unweighted generators Prob[0] = Prob[1] = 0,5 GT: Mersenne-Twister based generators Weighted generators with one weight set Prob[0] Prob[1] Weighted generators with several weight sets

42 Weighting schemes Stimuli generators GOAL: We want a generator that has a high probability of creating Good BASIS patterns Good : A BASIS pattern that detects many faults Bad : A BASIS pattern that detects few faults

43 Stimuli generators Weighting schemes (GA3, GT3) Unweighted generator Source for good and bad BASIS pattern Fault Simulation Count detected faults for each basis pattern v1 v2 v3 v4 v5 v6 v7 v8 detected 0 detected 99 detected 50 detected 7 detected 2 detected 64 detected 100 detected 11

44 Stimuli generators Unweighted Unweighted generator Source for good and bad BASIS pattern Fault Simulation Count detected faults for each basis pattern v1 v2 v3 v4 v5 v6 v7 v8 detected 0 detected 99 detected 50 detected 7 detected 2 detected 64 detected 100 detected 11

45 Stimuli generators Weighting schemes (GA3, GT3) What can this information be used for? v1 v2 v3 v detected 0 *detected 99 *detected 50 detected 7 Check each pin value. Good patterns when this pin is 1.. v5 0 detected 2 v6 1 *detected 64 v7 1 *detected 100 v8 0 detected 11

46 Weighting schemes I (GA3, GT3) Stimuli generators Basis Pattern S1 S0Ctr S1Ctr Detected new faults for the current Basis Pattern 3 CUT S1 S0Ctr S1Ctr Total number of detected paths for particular input values and inputs Probabilities (weights): p 1 = S1Ctr / (S1Ctr + S0Ctr) p 0 = S0Ctr / (S1Ctr + S0Ctr)

47 Stimuli generators Weighting schemes I I (GA6, GT6) PDFAtpg extracted longest testable paths. Test vector set used for computing weights. I0 I1 I2 I3 I0 I1 I2 I3 T1 T2 T3 T4 P0 S1 S1 S1 S1 S1 P1 S1 S0 S1 P1 XX S1 P0 S1 XX CUT n0 n1 p /5 4/5 4/6 4/6 Counting rules: S0 increments n0 S1 increments n1 P0, P1 and XX increments both Probability (Weights): p1 = n1/(n1 + n0) p0 = n0/(n1 + n0)

48 Experiments Experiments EX1: Find the K-longest testable paths in each circuit. EX3/EX4: Comparison of different weighting schemes EX5: Weighted pseudo-random patterns targeting the K-longest testable path-delay faults EX6: Distributed simulation utilizing idle CPU time on 100 machines.

49 Three phases in each experiment Experiments ATPG Phase: ATPG is used in order to find the longest testable paths. Paths used as target fault list during simulation Weight generation phase: Weights are generated Fault simulation phase: 10M single-input-change test patterns were applied

50 Two questions Experiments We want to use the low complexity accumulator, but is it good enough? Can test time be reduced by using weighted compared to unweighted stimuli?

51 Ex5 Weighted pseudo-random patterns targeting the longest testable path-delay faults Experiments GA: accumulator based. GT: mersenne twister based On average, no significant difference.

52 Two questions Experiments We want to use the low complexity accumulator, but is it good enough? Accumulator: - Low complexity - Poorer statistical properties YES, Accumulator is good enough (for the tested circuits) Mersenne Twister: - High complexity - Good statistical properties

53 Target Coverage 86% (%) Fault Coverage Experiments Ex5 Weighted and unweighted pseudo-random patterns targeting the longest testable path-delay faults c880 0,0E+00 2,5E+06 5,0E+06 7,5E+06 1,0E+07 Applied test vectors GA3 GAU

54 Ex5 Experiments Target Coverage 86% Fault Coverage K c880 0,0E+00 2,5E+06 5,0E+06 7,5E+06 1,0E+07 Applied test vectors GA3 GAU 10M Reduction in test time: = 11.9 (Really test volume)

55 Ex5 Weighted pseudo-random patterns targeting the longest testable path-delay faults Experiments

56 Tools in the Workshop? Questionnaire to IC/ASIC companies in Norway In general, delay testing is in near sight or in the distance, but.. Some are using vendor tools: Tetramax/Primetime Synopsys Encounter Test Architect - Cadence

57 System example: Synopsys: ATPG for transition delay faults, and path delay faults

58 Questionnaire I asked 8 companies in Norway on state-of-practice in delay testing (5 answered)

59 Company Relevant technology (nm) Transition delay testing (tool) PDF testing (tool) Comments on delay fault testing A down to 65 NO NO Delivers only virtual components. Synhesizable. ATPG to verify testability. B 180 and 130 YES, in 130 (SynopsysTetramax) YES, in 130 (Tetramax) Will try to include it in every project in 130 and below. C (1) 180 NO NO Will be used in future when converting to smaller techn. C (2) 130 provision for (Tetramax) NO DFT onboard to support delay testing. Test data analysis will be used to increase/decrease delay test patterns later. D 180 NO NO Not a part of standard back-end flow from our back-end partner in this project. E 180 YES (Cadence Encounter True Test) TBD Have not taped-out our first product yet, so consider all answers as will introduce.

60 Company Relevant technology (nm) Transition delay testing (tool) PDF testing (tool) Comments on delay fault testing A down to 65 NO NO Delivers only virtual components. Synhesizable. ATPG to verify testability. B 180 and 130 YES, in 130 (SynopsysTetramax) YES, in 130 (Tetramax) Will try to include it in every project in 130 and below. C (1) 180 NO NO Will be used in future when converting to smaller technologies. C (2) 130 provision for (Tetramax) NO DFT onboard to support delay testing. Test data analysis will be used to increase/decrease delay test patterns later. D 180 NO NO Not a part of standard back-end flow from our back-end partner in this project. E 180 YES (Cadence Encounter True Test) TBD Have not taped-out our first product yet, so consider all answers as will introduce.

61 Conclusion Delay testing methodologies are on the way from the ivory towers of research, and into the workshops doing production testing. 130 nanometer technology may be turning point where delay defects can not be ignored for high quality testing. Tools exist, but will have to improve Test data volume is increasing. Need to deal with that (BIST, compressors, )

62 Some relevant references [Gj 06] Øystein Gjermundnes, Exploiting Arithmetic BIST for Path Delay Fault Testing, PhD thesis, ISBN (electronic version), NTNU Thanks to Øystein for use of some of his material. [KC98] A. Krstic and K. T. Cheng, Delay Fault Testing for VLSI Circuits, Kluwer Ac. Publ., Boston ISBN Comprehensive textbook on delay testing [SLC75] H. D. Schnurmann, E. Lindbloom, R. G. Carpenter, "The Weighted Random Test-Pattern Generator," IEEE Transactions on Computers, vol.c-24, no.7pp , July [GRT96] S. Gupta, J. Rajski, and J. Tyszer. Arithmetic additive generators of pseudo-exhaustive test patterns. IEEE Transactions on Computers, 45(8): , [Smi85] G. L. Smith. Model for delay faults based upon paths. In Proc. of the International Test Conf., pages , [Kap95] B. Kapoor. An efficient method for computing exact path delay fault coverage. In Proc. of the European Design and Test Conf., pages , [WW03] W. Qiu and D. M. H. Walker. An efficient algorithm for finding the k longest testable paths through each gate in a combinational circuit. In Proc. of the International Test Conf., volume 1, pages , [CC93] K. T. Cheng and H. C. Chen. Delay testing for non-robust untestable circuits. In Proc. of the International Test Conf., pages , [FS83] H. Fujiwara and T. Shimono. On the acceleration of test generation algorithms. IEEE Transactions on Computers, C- 32(12): , 1983.

63 THANK YOU!

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Problems to Think How are you going to test A 32 bit adder A 32 bit counter A 32Mb

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

ECE 3060 VLSI and Advanced Digital Design. Testing

ECE 3060 VLSI and Advanced Digital Design. Testing ECE 3060 VLSI and Advanced Digital Design Testing Outline Definitions Faults and Errors Fault models and definitions Fault Detection Undetectable Faults can be used in synthesis Fault Simulation Observability

More information

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Tong-Yu Hsieh and Kuen-Jong Lee Department of Electrical Engineering National Cheng Kung University Tainan, Taiwan 70101

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Applications Why Two Fault Simulators Never Agree General Techniques Parallel Pattern Simulation Inactive Fault Removal Critical Path Tracing Fault Sampling Statistical Fault Analysis ECE 767 Fault grading

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 54 Design for Testability So, in the last lecture we talked

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information

Hardware testing and design for testability. EE 3610 Digital Systems

Hardware testing and design for testability. EE 3610 Digital Systems EE 3610: Digital Systems 1 Hardware testing and design for testability Introduction A Digital System requires testing before and after it is manufactured 2 Level 1: behavioral modeling and test benches

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Predicting IC Defect Level using Diagnosis

Predicting IC Defect Level using Diagnosis 2014 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Borrowing Kun Young Chung and Sandeep K. Gupta University of Southern California, EE Systems Abstract High-speed circuits use

More information

A New Multiple Weight Set Calculation Algorithm

A New Multiple Weight Set Calculation Algorithm A New Multiple Weight Set Calculation Algorithm Hong-Sik Kim Jin-kyue Lee Sungho Kang hskim@dopey.yonsei.ac.kr jklee@cowboys.yonsei.ac.kr shkang@yonsei.ac.kr Dept. of Electrical Eng. Yonsei Univ. Shinchon-dong

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke Integrierte Hard- und Softwaresysteme RT-Level Design data path and control path on RT-level RT level simulation Functional units (F1,..,F4)

More information

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice

On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice On Critical Path Selection Based Upon Statistical Timing Models -- Theory and Practice Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng University of California - Santa Barbara Problem Find

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m )

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m ) Transition Faults Detection in Bit Parallel Multipliers over GF( m ) Hafizur Rahaman Bengal Engineering & Science University, Shibpur Howrah-73, India rahaman_h@it.becs.ac.in Jimson Mathew Computer Science

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS Hussain Al-Asaad Department of Electrical & Computer Engineering University of California One Shields Avenue, Davis, CA 95616-5294

More information

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays EEE TRANSACTONS ON COMPUTERS, VOL. C-30, NO. 11, NOVEMBER 1981 A Hardware Approach to Self-Testing of Large Programmable Logic Arrays 829 WLFRED DAEHN AND JOACHM MUCHA, MEMBER, EEE Abstract-A hardware

More information

Test Generation for Designs with On-Chip Clock Generators

Test Generation for Designs with On-Chip Clock Generators Test Generation for Designs with On-Chip Clock Generators Xijiang Lin Mark Kassab Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97068 {xijiang_lin, mark_kassab}@mentor.com Abstract High performance

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Model-Based I DDQ Pass/Fail Limit Setting

Model-Based I DDQ Pass/Fail Limit Setting Model-Based I DDQ Pass/Fail Limit Setting T. Aruna Unni Xilinx Corp. 21 Logic Drive, San Jose CA 955 Ph: (48) 879-5366, Fax: (48) 377-3259 Email: aruna@xilinx.com Abstract This paper describes several

More information

Testability Measures controllability observability Controllability Observability

Testability Measures controllability observability Controllability Observability Testability Measures An attempt to quantify testability by Goldstein 79 and Grason 79 resulted in two testability measures, controllability and observability. Controllability is defined as the difficulty

More information

FAULT MODELING. Chapter Defects, Errors, and Faults

FAULT MODELING. Chapter Defects, Errors, and Faults Chapter 4 FAULT MODELING... The extreme difficulty of obtaining solutions by conventional mathematical analysis has led in the past to the use of highly unrealistic models simply because they led to equations

More information

STRUCTURAL test offers several advantages over functional

STRUCTURAL test offers several advantages over functional Functional Test-Sequence Grading at Register-Transfer Level Hongxia Fang, Krishnendu Chakrabarty, Abhijit Jas, Srinivas Patil, and Chandra Tirumurti Abstract Functional test sequences are often used in

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs EECS 9B Spring 3 Timing Analysis - Delay Models Simple model : D k Ak A A A3 Timing Analysis A k = arrival time = max(a,a,a 3 ) + D k D k is the delay at node k, parameterized according to function f k

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 203-204 Midterm Examination CLOSED OOK Kewal K. Saluja Date:

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2014-2015 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Fault Tolerant Computing CS 530 Fault Modeling

Fault Tolerant Computing CS 530 Fault Modeling CS 53 Fault Modeling Yashwant K. Malaiya Colorado State University Fault Modeling Why fault modeling? Stuck-at / fault model The single fault assumption Bridging and delay faults MOS transistors and CMOS

More information

Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1.

Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1. 1 Outline - BIST Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture 2 Why Built-In Self Test? TYPES On-Line Self-Test (Concurrent Checking) Functional Self-Test

More information

Digital electronic systems are designed to process voltage signals which change quickly between two levels. Low time.

Digital electronic systems are designed to process voltage signals which change quickly between two levels. Low time. DIGITL ELECTRONIC SYSTEMS Digital electronic systems are designed to process voltage signals which change quickly between two levels. High Voltage Low time Fig. 1 digital signal LOGIC GTES The TTL digital

More information

Relating Entropy Theory to Test Data Compression

Relating Entropy Theory to Test Data Compression Relating Entropy Theory to Test Data Compression Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 7872 Email: {kjbala, touba}@ece.utexas.edu

More information

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING Hussain Al-Asaad and Raymond Lee Computer Engineering Research Laboratory Department of Electrical & Computer Engineering University of California One

More information

Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT)

Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT) RESEARCH ARTICLE OPEN ACCESS Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT) T.Jyothsna 1 M.Tech, M.Pradeep 2 M.Tech 1 E.C.E department, shri Vishnu

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Final Examination CLOSED BOOK Kewal K. Saluja Date:

More information

MONSOON: SAT-based ATPG for Path Delay Faults Using Multiple-Valued Logics

MONSOON: SAT-based ATPG for Path Delay Faults Using Multiple-Valued Logics Journal of Electronic Testing - Theory and Applications manuscript No. (will be inserted by the editor) MONSOON: SAT-based ATPG for Path Delay Faults Using Multiple-Valued Logics Stephan Eggersglüß Görschwin

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations

Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Statistical Timing Analysis with Path Reconvergence and Spatial Correlations Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen ECE Department, University of Wisconsin, Madison, WI53706-1691, USA E-mail:

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

THE MULTIPLE-VALUED LOGIC.

THE MULTIPLE-VALUED LOGIC. By Marek Perkowski THE MULTIPLE-VALUED LOGIC. What is it? WHY WE BELIEVE IT HAS A BRIGHT FUTURE. Research topics (not circuit-design oriented) New research areas The need of unification Is this whole a

More information

VLSI System Testing. Testability Measures

VLSI System Testing. Testability Measures ECE 538 VLSI System Testing Krish Chakrabarty Testability Measures ECE 538 Krish Chakrabarty 1 Testability Measures Origins Controllability and observability SCOAP measures Sources of correlation error

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Digital Logic

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: Digital Logic Computer Science 324 Computer Architecture Mount Holyoke College Fall 2007 Topic Notes: Digital Logic Our goal for the next few weeks is to paint a a reasonably complete picture of how we can go from transistor

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 4 DC and Transient Responses, Circuit Delays Zhuo Feng 4.1 Outline Pass Transistors DC Response Logic Levels and Noise Margins Transient Response RC Delay Models Delay

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

Yield Evaluation Methods of SRAM Arrays: a Comparative Study

Yield Evaluation Methods of SRAM Arrays: a Comparative Study IMTC 2 - Instrumentation and Measurement Technology Conference Como, Italy, 2 May 2 Yield Evaluation Methods of SRAM Arrays: a Comparative Study M. Ottavi,L.Schiano,X.Wang,Y-B.Kim,F.J.Meyer,F.Lombardi

More information

Testability of SPP Three-Level Logic Networks

Testability of SPP Three-Level Logic Networks Testability of SPP Three-Level Logic Networks Valentina Ciriani Anna Bernasconi Rolf Drechsler Department of Computer Science University of Pisa 561 Pisa, Italy {ciriani, annab}@di.unipi.it Institute of

More information

Design of Low Power, High Speed Parallel Architecture of Cyclic Convolution Based on Fermat Number Transform (FNT)

Design of Low Power, High Speed Parallel Architecture of Cyclic Convolution Based on Fermat Number Transform (FNT) Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 6 (2013), pp. 641-650 Research India Publications http://www.ripublication.com/aeee.htm Design of Low Power, High Speed

More information

Lecture 6: Time-Dependent Behaviour of Digital Circuits

Lecture 6: Time-Dependent Behaviour of Digital Circuits Lecture 6: Time-Dependent Behaviour of Digital Circuits Two rather different quasi-physical models of an inverter gate were discussed in the previous lecture. The first one was a simple delay model. This

More information

EECS 579: SOC Testing

EECS 579: SOC Testing EECS 579: SOC Testing Core-Based Systems-On-A-Chip (SOCs) Cores or IP circuits are predesigned and verified functional units of three main types Soft core: synthesizable RTL Firm core: gate-level netlist

More information

Methodology to combine Formal and Fault simulator to measure safety metrics

Methodology to combine Formal and Fault simulator to measure safety metrics Methodology to combine Formal and Fault simulator to measure safety metrics Jain Gaurav, Infineon Technologies AP Pte LTD, Singapore Kadambi Ranga, Infineon Technologies AP Pte LTD, Singapore Bandlamudi

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline q A Brief History q CMOS Gate esign q Pass Transistors q CMOS Latches & Flip-Flops q Standard Cell Layouts q Stick iagrams 2 A Brief History q 1958: First integrated

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS K. Prasanna Kumari 1, Mrs. N. Suneetha 2 1 PG student, VLSI, Dept of ECE, Sir C R Reddy College

More information

Pre and post-silicon techniques to deal with large-scale process variations

Pre and post-silicon techniques to deal with large-scale process variations Pre and post-silicon techniques to deal with large-scale process variations Jaeyong Chung, Ph.D. Department of Electronic Engineering Incheon National University Outline Introduction to Variability Pre-silicon

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Path delay fault testing of multiplexer-based shifters*

Path delay fault testing of multiplexer-based shifters* INT. J. ELECTRONICS, 21, VOL. 88, NO. 8, 923± 937 Path delay fault testing of multiplexer-based shifters* H. T. VERGOSy}, Y. TSIATOUHASz, TH. HANIOTAKISk, D. NIKOLOSy and M. NICOLAIDIS} In this paper we

More information

Lecture 6: DC & Transient Response

Lecture 6: DC & Transient Response Lecture 6: DC & Transient Response Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Pass Transistors DC Response Logic Levels and Noise Margins

More information

Test Generation for Designs with Multiple Clocks

Test Generation for Designs with Multiple Clocks 39.1 Test Generation for Designs with Multiple Clocks Xijiang Lin and Rob Thompson Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97070 Abstract To improve the system performance, designs with

More information

From Physics to Logic

From Physics to Logic From Physics to Logic This course aims to introduce you to the layers of abstraction of modern computer systems. We won t spend much time below the level of bits, bytes, words, and functional units, but

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

Finding Optimum Clock Frequencies for Aperiodic Test. Sindhu Gunasekar

Finding Optimum Clock Frequencies for Aperiodic Test. Sindhu Gunasekar Finding Optimum Clock Frequencies for Aperiodic Test by Sindhu Gunasekar A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2.

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2. Digital Circuits 1. Inputs & Outputs are quantized at two levels. 2. inary arithmetic, only digits are 0 & 1. Position indicates power of 2. 11001 = 2 4 + 2 3 + 0 + 0 +2 0 16 + 8 + 0 + 0 + 1 = 25 Digital

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

ECS 120 Lesson 23 The Class P

ECS 120 Lesson 23 The Class P ECS 120 Lesson 23 The Class P Oliver Kreylos Wednesday, May 23th, 2001 We saw last time how to analyze the time complexity of Turing Machines, and how to classify languages into complexity classes. We

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

Complexity Theory Part I

Complexity Theory Part I Complexity Theory Part I Outline for Today Recap from Last Time Reviewing Verifiers Nondeterministic Turing Machines What does nondeterminism mean in the context of TMs? And just how powerful are NTMs?

More information

Numbers & Arithmetic. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See: P&H Chapter , 3.2, C.5 C.

Numbers & Arithmetic. Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University. See: P&H Chapter , 3.2, C.5 C. Numbers & Arithmetic Hakim Weatherspoon CS 3410, Spring 2012 Computer Science Cornell University See: P&H Chapter 2.4-2.6, 3.2, C.5 C.6 Example: Big Picture Computer System Organization and Programming

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Extracted from Agrawal & Bushnell VLSI Test: Lecture 15 1 % of chip area Importance of memories Memories dominate

More information

ECE/CS 250 Computer Architecture

ECE/CS 250 Computer Architecture ECE/CS 250 Computer Architecture Basics of Logic Design: Boolean Algebra, Logic Gates (Combinational Logic) Tyler Bletsch Duke University Slides are derived from work by Daniel J. Sorin (Duke), Alvy Lebeck

More information

Building a Computer Adder

Building a Computer Adder Logic Gates are used to translate Boolean logic into circuits. In the abstract it is clear that we can build AND gates that perform the AND function and OR gates that perform the OR function and so on.

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates

ECE 250 / CPS 250 Computer Architecture. Basics of Logic Design Boolean Algebra, Logic Gates ECE 250 / CPS 250 Computer Architecture Basics of Logic Design Boolean Algebra, Logic Gates Benjamin Lee Slides based on those from Andrew Hilton (Duke), Alvy Lebeck (Duke) Benjamin Lee (Duke), and Amir

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University Fault Tolerant Computing CS 530 Random Testing Yashwant K. Malaiya Colorado State University February 5, 2018 1 Random Testing Random testing, in some form, is common for both hardware or software testing.

More information

Digital Systems. Validation, verification. R. Pacalet January 4, 2018

Digital Systems. Validation, verification. R. Pacalet January 4, 2018 Digital Systems Validation, verification R. Pacalet January 4, 2018 2/98 Simulation Extra design tasks Reference model Simulation environment A simulation cannot be exhaustive Can discover a bug Cannot

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing Jing-Jia Liou Angela Krstić Kwang-Ting Cheng Deb Aditya Mukherjee Sandip Kundu ECE Department, University

More information

Self-checking Synchronous FSM Network Design with Low Overhead

Self-checking Synchronous FSM Network Design with Low Overhead VLSI DESIGN # 2000 OPA (Overseas Publishers Association) N.V. 2000, Vol. 00, No. 00, pp. 1±12 Published by license under Reprints available directly from the publisher the Gordon and Breach Science Photocopying

More information

A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan ZHAO Zhen-Yu LI Peng

A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan ZHAO Zhen-Yu LI Peng International Conference on Automation, Mechanical Control and Computational Engineering (AMCCE 2015) A Precise Model of TSV Parasitic Capacitance Considering Temperature for 3D IC DENG Quan ZHANG Min-Xuan

More information