Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1.

Size: px
Start display at page:

Download "Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1."

Transcription

1 1 Outline - BIST Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture 2

2 Why Built-In Self Test? TYPES On-Line Self-Test (Concurrent Checking) Functional Self-Test (system (micro)code-based) Structural Self-Test (pseudo-random/-exhaustive, deterministic) Regular Structure BIST, Logic BIST,... OBJECTIVES OF STRUCTURAL SELF-TEST Reduction in IC/Module Manufacturing Cost (memory/thruput) Need for Autonomous Test at Board/Module/System Levels Diagnostics Burn-In 3 Built-In Self Test Stimulus Source Stored Program (1s & 0s, algorithm) Pseudo-Random (LFSR) Circuit Under Test Response Capture & Compare Stored Program Data Compaction (CRC Signatures) Pass/Fail Compare Self-Test Controller Clocks Mode Control... including fencing Initialization Response Sampling Defect-Free Response 4

3 Memory BIST. (Shared) RAMBIST CONTROLLER Addr COLLAR TAP Parameters Controller: global/local Algorithm Parallel Testing (multiples) Diagnostics Load/Unload protocol DataIn Wen Clk DataOut RAM 5 Memory BIST Collar # Ports = # Independent Addresses Each Port is Read Only, Write Only or Read-Write MultiPort Write Contention Resolved by Circuit Design or Address Sequencing Stepper Logic LOCAL RAMBIST SEQUENCER Pattern Logic Addr DataOut DataIn Compare P/F OR Wen OR Clk 6

4 Ex: RAMBIST Algorithm (6N) 6N RAM test algorithm. For address 0 to max do: W(0) For address 0 to max do: R(0), W(1), increment the address For address max to 0 do: R(1), W(0), R(0), decrement the address Each location receives six operations: hence the name of the algorithm, 6N For a byte-oriented RAM, the algorithm is repeated four times with different write First sequence: Second sequence: Third sequence: Fourth sequence: W(0) = W( ), W(1) = W( ) W(0) = W( ), W(1) = W( ) W(0) = W( ), W(1) = W( ) W(0) = W( ), W(1) = W( ) 7 Test Time Complexity (100MHz) Size N 10N NlogN N 1.5 N 2 1M 0.01s 0.1s 0.2s 11s 3h 16M 0.16s 1.6s 3.9s 11m 33d 64M 0.66s 6.6s 17s 1.5h 1.43y 256M 2.62s 26s 1.23m 12h 23y 1G 10.5s 1.8m 5.3m 4d 366y 4G 42s 7m 22.4m 32d 57c 16G 2.8m 28m 1.6h 255d 915c 8

5 RAM Test Algorithm A test algorithm (or simply test) is a finite sequence of test elements. A test element contains a number of memory operations (access commands).» Data pattern (background) specified for the Read operation.» Address (sequence) specified for the Read and Write operations. A march test algorithm is a finite sequence of march elements. A march element is specified by an address order and a number of Read/Write operations. 9 March Tests March X For AF, SAF, TF, & CFin. { c ( w0); ( r0, w1); ( r1, w0); c ( r0)} March C [Marinescu 1982] For AF, SAF, TF, & all CFs---redundant. { c ( w0); ( r0, w1); ( r1, w0); c ( r0); ( r0, w1); ( r1, w0); c ( r0)} March C- [Goor 1991] Also for AF, SAF, TF, & all CFs---irredundant. { c ( w 0 ); ( r 0, w 1); ( r 0, w 1); ( r1, w 0 ); c ( r1, w 0 ); ( r 0 )} 10

6 Coverage of March Tests MATS++ March X March Y March C- SAF TF AF SOF CFin CFid CFst * Extended March C- (11N) has a 100% coverage of SOF. 11 Testing Word-Oriented RAM Background bit is replaced by background word. MATS++: { c ( wa ); ( ra, wa ' ); ( ra ', wa, ra )} Conventional method is to use log(m)+1 different backgrounds for m-bit words. m=8: , , , and Apply the test algorithm logm+1=4 times, so complexity is 4*6N/8=3N. 12

7 Logic BIST - Stimulus Generation There many way to generate the test. The simplest categorization is in terms of the type of testing used: 1. Exhaustive testing 2. Pseudo-random testing 3. Pre-stored testing Logic BIST - Response Analysis 1. Parity checking 2. Transition counting 3. Syndrome generation or ones counting 4. Signature analysis 13 Test Pattern Generator for BIST (a) Exhaustive test: use a counter and apply all possible patterns (2 n patterns) to the circuit under test. (b) Random test: Use linear-feedback shift register (LFSR) to apply random patterns to CUT. Ex: TPG of random testing + D 1 D 2 D 3 C U T initial value (seed) This 3-stage LFSR can generate test sequence of length 2 3-1=7 D 1 D 2 D

8 Maximum-Length LFSR An m-stage LFSR can generate test seq. of length 2 m -1 Such sequence are called maximal length sequence. Such an LFSR is called a maximum-length LFSR. When only a fraction of the 2 m -1 can be applied, (because m is too large), LFSR is better than counters. Cycle Counter LFSR Sequences of LFSR is more random: every bit is random 15 An LFSR Can Be Expressed by its Characteristic Polynomial f(x) + f(x)=x 5 +x 3 +1 a n =a n-3 +a n-5 The characteristic polynomial of a maximum-length LFSR is called primitive polynomial. Several listings of such polynomials exist in the literature. Given a CUT with m inputs, pick a primitive polynomial of degree m and construct the corresponding LFSR as a TPG. Ref: Built-In Test for VLSI, Paul H. Bardell et al., John Wiley & Sons, 1987 (up to degree 300). Ref: Essential of Electronic Testing, M. L. Bushnell, V. Agrawal, Kluwer, (pp up to degree 100). 16

9 Characteristics of M-L LFSR The state diagram contains two components: one contains the all-zero state, the other contains other 2 m -1 states m -1 states Cycle LFSR For every bit, # of 1 s differs from # of 0 s by one. # of transitions between 1 and 0 in one period is (m+1)/2. 17 Characteristics of M-L LFSR Cont d Autocorrelation between different bits: Autocorrelation function is defined as: 1 2 m 1 C(i, j) = 2 m b i (n)b j (n) 1 n =1 b i (t) = 1 where a i (t) = 0 where b i (t) = 1 where a i (t) = 1 Cycle LFSR m= The autocorrelation function of every M-L LFSR of period p=2 m -1 is: C(i,i)=1 C(i,j)=-1/p i j Ex. m=3: C(1,2)=-1/7 C(1,3)=-1/7 C(2,3)=-1/7 18

10 Linear Dependency Ref: Rajski & Tyszer, BIST for SoC, 1999 FTCS Tutorial 19 Selection of LFSR as RPTG Degree Large enough so the state will not repeat Large enough to reduce linear dependencies Type Primitive Avoid trinomials (increased linear dependencies) Seed value Select through fault simulation 20

11 Definitions - Random Pattern Testability for Logic BIST Detection probability q i of fault f i : the probability a randomly selected input vector will detect the fault. Error latency EL i of fault f i : the number of random input vectors applied to a circuit until f i is detected Theorem: EL of a fault has a geometric distribution. I.e Pr{ EL i = j} = (1 - q ) j-1 i q i Cumulative detection probability: F ELi (t) = Pr{EL i t} = t j=1 (1 - q )j-1 i q i F ELi (t) = 1 - (1 - q ) t i t 1 Mean : M i = E(EL ) i = j=1 j (1 - q ) j-1 i q i = 1/q i Var (EL ) i = E (EL i2 ) - E 2 (EL ) i = (1 - q i )/q 2 i 21 Required Random Test Length as a Function of Detection & Escape Prob. Escape probability of a fault f i : the probability that the fault will go undetected after the application of t random input vectors. Similarly, escape probability of a fault set {f 1, f 2,,f m } is the probability that at least one member of the fault set will be left undetected after application of t random input vectors. The random test length required to detect a fault f i with escape probability no larger than a given threshold e i can be obtained as T i = [ln e /ln(1 i - q )] i (Note: Pr{escape} = 1 - F ELi (t) = (1 - q i ) t ) 22

12 A Testability Analysis Method -COP (F.Brglez, 1984) C s : The probability of the signal having 1 at signal s O s : The probability of signal s being observed at a PO a b X C X = C a * C b O a = O X * C b a b a a x Y b X C X = 1 - (1 - C a )*(1 - C b ) O a = O X * (1 - C b ) C b = 1 - C a O a = O b C X = C Y = C a O a = 1 - (1 - O X ) * (1 - O Y ) Compute C s from PI s toward PO s Compute O s from PO s toward PI s 23 Estimate of Circuit Random Pattern Testability Estimate of detection probability of a stuck-at fault: Pd s / 0 = C s O s Pd s /1 = (1 C s ) O s for stuck-at-0 fault at s for stuck-at-1 fault at s An estimate of circuit testability * : U = 1 F 1 i F pd i * R. Lisanke et al, Testability-Driven Random Test Pattern Generation, IEEE TCAD, Nov

13 Test Response Compression T P G Circuit Under Test output response Compressor Signature The signature & its collection algorithm should meet the following guideline: 1. The algorithm must be simple enough to be implemented as part of the built-in test circuitry. 2. The implementation must be fast enough to remove it as a limiting factor in test time. 3. The compression method should minimize the loss of information. Specifically it should minimize the loss of evidence of a fault indicated by a wrong response from the circuit under test. 25 Use of LFSRs for Polynomial Division Suppose we are interested in modulo 2 division. P(x)/G(x) =(x 7 +x 3 +x)/(x 5 +x 3 +x+1) The longhand division can be conducted in terms of the detached coefficients only: 101 Q(x)=x =R R(x)=x 3 +x 2 +1 This division process can be mechanized using a LFSR. 26

14 LFSR Implementing Polynomial Division LFSR implementing division by f(x)=x 5 +x 3 +x+1 : input x 0 x 1 x 2 x 3 x 4 When a shift occurs, x 5 is replaced by x 3 +x 1 +x 0. Whenever a quotient coefficient (the x 5 term) is shifted off the right-most stage, x 3 +x 1 +x 0 is added to the register (or subtracted from the register since addition is the same as subtraction modulo 2). Effectively, the dividend has been divided by x 5 +x 3 + x Using LFSR for Polynomial Division The LFSR is initialized to zero The message word (or dividend) P(x) is serially streamed to the LFSR input, high-order coefficient first, The content of the LFSR after the last message bit is the remainder R(x) from the division of the message polynomial by the divisor G(x) P(x) = Q(x)G(x) + R(x) The shifted-out bit stream forms the quotient Q(x) 28

15 An Example Example: P(x)=x 7 +x 3 +x input= input time input x 0 x 1 x 2 x 3 x x Remainder R=x 3 +x 2 +1 Quotient Q=x LFSR As a Signature Analyzer Any data, such as the test response resulting from a circuit, can be compressed into a signature by an LFSR The signature is the remainder from the division process The LFSR is called a signature analyzer. P(x)=Q(x) G(x) + R(x) divisor signature LFSR polynomial 30

16 Aliasing in Signature Analysis If P(x) is the polynomial of the correct data, any P (x)=p(x)+m(x)g(x) will have the same signature as P(x) for any M(x). Example: P(x)=x 7 +x 3 +x G(x)=x 5 +x 3 +x+1 signature R(x)=x 3 +x 2 +1 P (x)=p(x)+g(x)=x 7 +x 5 +1 P (x)=p(x)+x G(x)=x 7 +x 6 +x 4 +x 3 +x 2 P (x) and P (x) have same signature x 3 +x Aliasing: condition in which a faulty ckt with erroneous response produces same signature as the good circuit. Aliasing probability is usually used to measure the quality of a data compressor. 31 Aliasing Prob. of Using LFSR as a Data Compressor - P(x)=Q(x) G(x)+R(x) For an input string of m-bit long, P(x) s degree is (m-1) There are 2 m different polynomials with an degree equal to or less than (m-1). Among them, 2 m -1 polynomials represent possible wrong bit streams. For a divisor polynomial G(x) of degree r, there are 2 m-r different Q(x) s that result in a polynomial of degree equal to or less than (m-1). There are 2 m-r -1 wrong m-bit streams that map into the same signature as the correct bit stream. Aliasing prob. P(M)=(2 m-r -1)/(2 m -1) For large m, P(M) 1/2 r. 32

17 Multiple-Input Signature Register (MISR) For multiple-output circuits, overhead of a single-input signature analyzer on every output would be high. A multiple-input signature register (MISR) is used: C U T I 0 I 1 I 2 I 3 I It can be proved that the aliasing prob. of a MISR is: (2 m-1-1)/(2 r+m-1-1) 1/2 r r: number of stages in MISR, m: length of data to be compressed. 33 Scan-Based BIST Architectures Test-Per-Scan Tests applied after filling up the scan chains Example: STUMP [Bardell et al. 1982] Lower area and performance overhead Longer test application time Test-Per-Clock Tests applied and responses compressed every clock cycle Examples: BILBO [Konemann et al., 1979], circular BIST [Krasniewski et al., 1989] Short test application time Higher area overhead and performance degradation Hybrid Example: PSBIST [Lin et al. 1993] 34

18 Example of Test-Per-Scan STUMP: Bardell et al, Parallel Random Pattern Generator SI 1 SI 2 SI 3 SI n S C A N 1 S C A N 2 S C A N 3... S C A N n SO 2 SO 3 SO n SO 1 Multiple-Input Signature Register 35 Example of Test-Per-Clock Circular BIST: Krasniewski et al I/P Boundary- Scan Combinational Circuit O/P boundary- Scan BIST FFs Shift Register BS1 BS0 BS1 CBIST BS0 Controller Q i-1 Q i I-1 I D i BIST FFs 36

19 Example of Hybrid Architecture: PSBIST PI M U X combinational or feedback-free sequential circuit S C PO M L I F S R P S S R Scan chains are are observed per per scan scan but but PO s PO s are are observed per per clock. Ref: C.-J. Lin, et al., Integration of Partial Scan and Built-In Self-Test,JETTA, General BIST Issues No X state propagation to observation points Structural dependencies for scan-based BIST Solution: Using phase shifter Random Pattern Resistance Solutions: Inserting test points; Using additional deterministic tests 38

20 Use of Phase Shifter to Guarantee Channel Separation Phase Shifter An XOR network If carefully designed, guarantee minimum channel separation Ref: Rajski & Tyszer, BIST for SoC, 1999 FTCS Tutorial 39 Random Pattern (RP) Resistance Coverage?? saturation high gain # Patterns 10-30% of of faults are typically random pattern resistant. 40

21 Test Point Insertion: Inserting an Observation Point Region influenced by an observation point: observation point Circuit before adding an observation point: e Circuit after adding an observation point: e 41 Test Point Insertion: Inserting a Control Point Region influenced by a control point: control point Circuit before adding a control point: e Hard to to set set to to 1 Circuit after adding a control point: e G e r 42

22 PSBIST With Test Points L PI M U X to control points combinational or feedback-free sequential circuit S C PO from obs. point M I F S R P S S R 43 Timing-Driven Test Point Insertion Timing-driven test point selection technique* automatically selects control/observation points With greatest random testability improvements Not in critical paths Under the PSBIST architecture, timing-driven partial scan + timing-driven test point insertion offer a lowperformance-penalty DFT solution to timing critical circuits. Ref: Cheng and Lin, Timing-Driven Test Point Insertion for Full-Scan and Partial-Scan BIST, Int l Test Conf.,

23 Estimate of Circuit Random Pattern Testability Detection probability of a stuck-at fault: Pd s / 0 = C s O s for stuck-at-0 fault at s Pd s /1 = (1 C s ) O s for stuck-at-1 fault at s An estimate of circuit testability * : U = 1 F 1 i F pd i * R. Lisanke et al, Testability-Driven Random Test Pattern Generation, IEEE TCAD, Nov COP Testability Measures (F.Brglez, 1984) C s : The probability of the signal having 1 at signal s O s : The probability of signal s being observed at a PO a b X C X = C a * C b O a = O X * C b a b a a x Y X C X = 1 - (1 - C a )*(1 - C b ) O a = O X * (1 - C b ) Compute C s C s from PI s PI s toward PO s PO s C b = 1 - C a O a = O b Compute O s O s from PO s PO s toward PI s PI s C X = C Y = C a O a = 1 - (1 - O X ) * (1 - O Y ) b 46

24 A Simple Algorithm for Selecting Test Points while (FC < desired_fc) & (#_of_test_point < Mac_number) { Compute slacks* for all nodes in the circuit; For each node s with a slack > threshold Compute U s assuming a test point at s; Insert the test point at s that has the lowest U s ; } Fault simulation using random vectors; * The difference of required arrival time and actual arrival time Problem: Exhaustively simulating all nodes causes high complexity. There are several solutions available to reduce the complexity. 47 Typical Test Application Scheme - One Capture Per Scan DATA SCAN_IN MODE_SW CLK 1 0 Q D-FF Q MUX Scan Cell scan L cycles capture 1 cycle CLK MODE_SW 48

25 Scan-Based BIST Does Not Have To Be 1-Capture-Per-Scan!! Two captures per scan L clock scancycles 2 capture clock cycles CLK MODE_SW K captures per scan scan L clock cycles capture k clock cycles CLK MODE_SW 49 Potential Advantages of Multiple Captures After Each Scan Tests are less random It provides tests with different signal probability profiles An example Scan_in A B C PSI F Scan FF 50

26 0.5 A 0.5 B 0.5 C PSI Signal Probability Profile At the first capture cycle: Scan_in 0.5 F.9375 Scan FF At the second capture cycle: Scan_in 0.5 A 0.5 B 0.5 C PSI.9375 F.8828 Scan FF Easier to observe: A s/1, B s/1, C s/1 Easier to activate: F s/1 Harder to activate: PSI s/1 51 A General Test Application Scheme for Scan-Based BIST [Tsai, Cheng and Bhamik, DAC99] Divide the testing into several sessions Each test session has a unique number (k) of capture cycles per scan Each test session detects a subset of faults Find the number of test sessions and the corresponding number of capture cycles for each test session to maximize the overall fault coverage 52

27 Fault Coverage Curve s38417 Multiple Single 53 Logic BIST Summary Circuit with logic BIST has core logic with scan pseudo-random pattern generator (LFSR) response compactor: Multiple-Input Signature Register (MISR) BIST controller (shift counter and pattern counter) Test points for improving random pattern testability BIST architectures can be classified into: Test-per-scan (such as STUMP) Test-per-clock (such as circular BIST) Hybrid (such as PSBIST) Multiple captures after each scan sequence for PSBIST may improve test quality without additional hardware overhead. 54

28 System-on-Chip: Heterogeneity and Programmability Increasing heterogeneity: More transistors doing different things! Digital, Analog, Memory, Software, High-speed bus Increasing programmability: Almost all SoCs have some programmable cores (Processor, DSP, FPGA) High NRE results in fewer design starts Domain-specific - more applications for a single design Programmability Power/Performance General purpose Domain specific Application specific 55 Fewer, But More Programmable Designs 56

29 Embedded-Software-Based Self- Testing For Programmable Chips Reuse of on-chip programmable components for test Using embedded processors as general computing platform for self-testing Processor/DSP/FPGA cores for on-chip test generation, measurement, response analysis and even diagnosis Self-test a processor using its instruction set for high structural fault coverage Bridging high-level functional test and low-level physical defects Use the tested processor/dsp to test buses, interfaces and other components, including analog and mixed-signal components View test as as an an application of of a programmable SOC!! 57 Embedded SW Self-Testing DSP VCI BusInterface Master Wrapper BusInterface Target Wrapper VCI System Memory Bus Arbiter CPU VCI On-chip Bus Test program On-Chip Responses Memory Signatures BusInterface Master Wrapper BusInterface Target Wrapper VCI IP Core Low-Cost Tester Loading test program at low speed Self-test at operational speed Unloading response signature at low speed Low-cost tester High-quality at-speed test Low test overhead Non-intrusive Test in normal operational mode No violation of power consumption More accurate speed-binning Ref: Krstic, et al DAC 02 58

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Problems to Think How are you going to test A 32 bit adder A 32 bit counter A 32Mb

More information

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke Integrierte Hard- und Softwaresysteme RT-Level Design data path and control path on RT-level RT level simulation Functional units (F1,..,F4)

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Built-In Self-Test. Outline

Built-In Self-Test. Outline Built-In Self-Test Outline Motivation for BIST Testing SoC with BIST Test per Scan and Test per Clock HW and SW based BIST Exhaustive and pseudoexhaustive test generation Pseudorandom test generation with

More information

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Extracted from Agrawal & Bushnell VLSI Test: Lecture 15 1 % of chip area Importance of memories Memories dominate

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Final Examination CLOSED BOOK Kewal K. Saluja Date:

More information

Overview. 4. Built in Self-Test. 1. Introduction 2. Testability measuring 3. Design for testability. Technical University Tallinn, ESTONIA

Overview. 4. Built in Self-Test. 1. Introduction 2. Testability measuring 3. Design for testability. Technical University Tallinn, ESTONIA Overview. Introduction 2. Testability measuring 3. Design for testability 4. Built in Self-Test Built-In Self-Test Outline Motivation for BIST Testing SoC with BIST Test per Scan and Test per Clock HW

More information

ECE 3060 VLSI and Advanced Digital Design. Testing

ECE 3060 VLSI and Advanced Digital Design. Testing ECE 3060 VLSI and Advanced Digital Design Testing Outline Definitions Faults and Errors Fault models and definitions Fault Detection Undetectable Faults can be used in synthesis Fault Simulation Observability

More information

Information Redundancy: Coding

Information Redundancy: Coding Info Redundancy April 2, 23 Information Redundancy: Coding Often applied to Info transfer: often serial communication thru a channel Info storage Hamming distance: error detection & correction capability

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

EECS150 - Digital Design Lecture 21 - Design Blocks

EECS150 - Digital Design Lecture 21 - Design Blocks EECS150 - Digital Design Lecture 21 - Design Blocks April 3, 2012 John Wawrzynek Spring 2012 EECS150 - Lec21-db3 Page 1 Fixed Shifters / Rotators fixed shifters hardwire the shift amount into the circuit.

More information

Chapter 6: Memory Diagnosis

Chapter 6: Memory Diagnosis Chapter 6: Memory Diagnosis i Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction RAM Diagnosis Algorithms RAM BISD

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world.

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world. Outline EECS 150 - Components and esign Techniques for igital Systems Lec 18 Error Coding Errors and error models Parity and Hamming Codes (SECE) Errors in Communications LFSRs Cyclic Redundancy Check

More information

Fault Tolerant Computing CS 530 Information redundancy: Coding theory. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Information redundancy: Coding theory. Yashwant K. Malaiya Colorado State University CS 530 Information redundancy: Coding theory Yashwant K. Malaiya Colorado State University March 30, 2017 1 Information redundancy: Outline Using a parity bit Codes & code words Hamming distance Error

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information

EECS Components and Design Techniques for Digital Systems. Lec 26 CRCs, LFSRs (and a little power)

EECS Components and Design Techniques for Digital Systems. Lec 26 CRCs, LFSRs (and a little power) EECS 150 - Components and esign Techniques for igital Systems Lec 26 CRCs, LFSRs (and a little power) avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~culler

More information

Linear Feedback Shift Registers (LFSRs) 4-bit LFSR

Linear Feedback Shift Registers (LFSRs) 4-bit LFSR Linear Feedback Shift Registers (LFSRs) These are n-bit counters exhibiting pseudo-random behavior. Built from simple shift-registers with a small number of xor gates. Used for: random number generation

More information

EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs)

EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) EECS150 - igital esign Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Nov 21, 2002 John Wawrzynek Fall 2002 EECS150 Lec26-ECC Page 1 Outline Error detection using parity Hamming

More information

Fault Tolerance & Reliability CDA Chapter 2 Cyclic Polynomial Codes

Fault Tolerance & Reliability CDA Chapter 2 Cyclic Polynomial Codes Fault Tolerance & Reliability CDA 5140 Chapter 2 Cyclic Polynomial Codes - cylic code: special type of parity check code such that every cyclic shift of codeword is a codeword - for example, if (c n-1,

More information

Built-In Test Generation for Synchronous Sequential Circuits

Built-In Test Generation for Synchronous Sequential Circuits Built-In Test Generation for Synchronous Sequential Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract We consider

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

On Random Pattern Testability of Cryptographic VLSI Cores

On Random Pattern Testability of Cryptographic VLSI Cores On Random Pattern Testability of Cryptographic VLSI Cores A. Schubert, W. Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik (ITEM) University of Bremen P.O. Box 33 04 40, D-28334 Bremen

More information

One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing

One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing M. Serra, K. Cattell, S. Zhang, J.C. Muzio, D.M. Miller Dept. of Computer Science

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

Delay Testing from the Ivory Tower to Tools in the Workshop

Delay Testing from the Ivory Tower to Tools in the Workshop Delay Testing from the Ivory Tower to Tools in the Workshop Einar Johan Aas Department of Electronics and Telecommunications, NTNU Nordic Test Forum, Tallinn, 25. November 2008 Name, title of the presentation

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

A New Multiple Weight Set Calculation Algorithm

A New Multiple Weight Set Calculation Algorithm A New Multiple Weight Set Calculation Algorithm Hong-Sik Kim Jin-kyue Lee Sungho Kang hskim@dopey.yonsei.ac.kr jklee@cowboys.yonsei.ac.kr shkang@yonsei.ac.kr Dept. of Electrical Eng. Yonsei Univ. Shinchon-dong

More information

SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD

SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD Annales Univ. Sci. Budapest., Sect. Comp. 39 (2013) 161 180 SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD Thomas Indlekofer (Paderborn,

More information

Test Generation for Designs with Multiple Clocks

Test Generation for Designs with Multiple Clocks 39.1 Test Generation for Designs with Multiple Clocks Xijiang Lin and Rob Thompson Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97070 Abstract To improve the system performance, designs with

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 54 Design for Testability So, in the last lecture we talked

More information

Hardware testing and design for testability. EE 3610 Digital Systems

Hardware testing and design for testability. EE 3610 Digital Systems EE 3610: Digital Systems 1 Hardware testing and design for testability Introduction A Digital System requires testing before and after it is manufactured 2 Level 1: behavioral modeling and test benches

More information

Relating Entropy Theory to Test Data Compression

Relating Entropy Theory to Test Data Compression Relating Entropy Theory to Test Data Compression Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 7872 Email: {kjbala, touba}@ece.utexas.edu

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3 ECE 512 Digital System Testing and Design for Testability Model Solutions for Assignment #3 14.1) In a fault-free instance of the circuit in Fig. 14.15, holding the input low for two clock cycles should

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Information redundancy

Information redundancy Information redundancy Information redundancy add information to date to tolerate faults error detecting codes error correcting codes data applications communication memory p. 2 - Design of Fault Tolerant

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER LABORATORY MANUAL S u b j e c t : MICROPROCESSOR AND MICROCONTROLLER TE (E lectr onics) ( S e m V ) 1 I n d e x Serial No T i tl e P a g e N o M i c r o p r o c e s s o r 8 0 8 5 1 8 Bit Addition by Direct

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering

More information

EECS150 - Digital Design Lecture 27 - misc2

EECS150 - Digital Design Lecture 27 - misc2 EECS150 - Digital Design Lecture 27 - misc2 May 1, 2002 John Wawrzynek Spring 2002 EECS150 - Lec27-misc2 Page 1 Outline Linear Feedback Shift Registers Theory and practice Simple hardware division algorithms

More information

Test Generation for Designs with On-Chip Clock Generators

Test Generation for Designs with On-Chip Clock Generators Test Generation for Designs with On-Chip Clock Generators Xijiang Lin Mark Kassab Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97068 {xijiang_lin, mark_kassab}@mentor.com Abstract High performance

More information

EECS 579: SOC Testing

EECS 579: SOC Testing EECS 579: SOC Testing Core-Based Systems-On-A-Chip (SOCs) Cores or IP circuits are predesigned and verified functional units of three main types Soft core: synthesizable RTL Firm core: gate-level netlist

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution ELEC516 Digital VLSI System Design and Design Automation (spring, 010) Assignment 4 Reference solution 1) Pulse-plate 1T DRAM cell a) Timing diagrams for nodes and Y when writing 0 and 1 Timing diagram

More information

WITH increasing complexity in systems design from increased

WITH increasing complexity in systems design from increased 150 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 51, NO. 1, FEBRUARY 2001 Data Compression in Space Under Generalized Mergeability Based on Concepts of Cover Table and Frequency Ordering

More information

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM Nabihah Ahmad Department of Electronic Engineering, Faculty of Electrical and Electronic Engineering, Universiti

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

New Implementations of the WG Stream Cipher

New Implementations of the WG Stream Cipher New Implementations of the WG Stream Cipher Hayssam El-Razouk, Arash Reyhani-Masoleh, and Guang Gong Abstract This paper presents two new hardware designs of the WG-28 cipher, one for the multiple output

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

EECS 579: Test Generation 4. Test Generation System

EECS 579: Test Generation 4. Test Generation System EECS 579: Test Generation 4 Other Combinational ATPG Algorithms SOCRATES Structure-Oriented Cost-Reducing Automatic TESt pattern generation [Schultz et al. 988] An ATPG system not just a test generation

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Design and Implementation of High Speed CRC Generators

Design and Implementation of High Speed CRC Generators Department of ECE, Adhiyamaan College of Engineering, Hosur, Tamilnadu, India Design and Implementation of High Speed CRC Generators ChidambarakumarS 1, Thaky Ahmed 2, UbaidullahMM 3, VenketeshK 4, JSubhash

More information

A Method to Support Diagnostics of Dynamic Faults in Networks of Interconnections

A Method to Support Diagnostics of Dynamic Faults in Networks of Interconnections INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2018, VOL. 64, NO. 3, PP. 407-420 Manuscript received January 29, 2018; revised July, 2018. DOI: 10.24425/123540 A Method to Support Diagnostics of Dynamic

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

Methodology to combine Formal and Fault simulator to measure safety metrics

Methodology to combine Formal and Fault simulator to measure safety metrics Methodology to combine Formal and Fault simulator to measure safety metrics Jain Gaurav, Infineon Technologies AP Pte LTD, Singapore Kadambi Ranga, Infineon Technologies AP Pte LTD, Singapore Bandlamudi

More information

How does the computer generate observations from various distributions specified after input analysis?

How does the computer generate observations from various distributions specified after input analysis? 1 How does the computer generate observations from various distributions specified after input analysis? There are two main components to the generation of observations from probability distributions.

More information

Error Detection & Correction

Error Detection & Correction Error Detection & Correction Error detection & correction noisy channels techniques in networking error detection error detection capability retransmition error correction reconstruction checksums redundancy

More information

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION 258 FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION Sri N.V.N.Prasanna Kumar 1, S.Bhagya Jyothi 2,G.K.S.Tejaswi 3 1 prasannakumar429@gmail.com, 2 sjyothi567@gmail.com, 3 tejaswikakatiya@gmail.com

More information

L9: Galois Fields. Reading material

L9: Galois Fields. Reading material L9: Galois Fields Reading material Muzio & Wesselkamper Multiple-valued switching theory, p. 3-5, - 4 Sasao, Switching theory for logic synthesis, pp. 43-44 p. 2 - Advanced Logic Design L9 - Elena Dubrova

More information

Galois Field Algebra and RAID6. By David Jacob

Galois Field Algebra and RAID6. By David Jacob Galois Field Algebra and RAID6 By David Jacob 1 Overview Galois Field Definitions Addition/Subtraction Multiplication Division Hardware Implementation RAID6 Definitions Encoding Error Detection Error Correction

More information

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Tong-Yu Hsieh and Kuen-Jong Lee Department of Electrical Engineering National Cheng Kung University Tainan, Taiwan 70101

More information

Outline. EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Simple Error Detection Coding

Outline. EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Simple Error Detection Coding Outline EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Error detection using arity Hamming code for error detection/correction Linear Feedback Shift

More information

ECEN 604: Channel Coding for Communications

ECEN 604: Channel Coding for Communications ECEN 604: Channel Coding for Communications Lecture: Introduction to Cyclic Codes Henry D. Pfister Department of Electrical and Computer Engineering Texas A&M University ECEN 604: Channel Coding for Communications

More information

GF(2 m ) arithmetic: summary

GF(2 m ) arithmetic: summary GF(2 m ) arithmetic: summary EE 387, Notes 18, Handout #32 Addition/subtraction: bitwise XOR (m gates/ops) Multiplication: bit serial (shift and add) bit parallel (combinational) subfield representation

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Linear Finite State Machines 1. X. Sun E. Kontopidi M. Serra J. Muzio. Abstract

Linear Finite State Machines 1. X. Sun E. Kontopidi M. Serra J. Muzio. Abstract The Concatenation and Partitioning of Linear Finite State Machines 1 X. Sun E. Kontopidi M. Serra J. Muzio Dept. of Electrical Engineering University of Alberta Edmonton, AB T6G 2G7 Dept. of Comp. Science

More information

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University Fault Tolerant Computing CS 530 Random Testing Yashwant K. Malaiya Colorado State University February 5, 2018 1 Random Testing Random testing, in some form, is common for both hardware or software testing.

More information

B. Cyclic Codes. Primitive polynomials are the generator polynomials of cyclic codes.

B. Cyclic Codes. Primitive polynomials are the generator polynomials of cyclic codes. B. Cyclic Codes A cyclic code is a linear block code with the further property that a shift of a codeword results in another codeword. These are based on polynomials whose elements are coefficients from

More information

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M.

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M. ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible

More information

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER Jesus Garcia and Michael J. Schulte Lehigh University Department of Computer Science and Engineering Bethlehem, PA 15 ABSTRACT Galois field arithmetic

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University Fault Tolerant Computing CS 530 Random Testing Yashwant K. Malaiya Colorado State University February 20, 2012 1 Random Testing Random testing, in some form, is common for both hardware or software testing.

More information

Programmable Logic Devices II

Programmable Logic Devices II Lecture 04: Efficient Design of Sequential Circuits Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br Prof. Marcos Moecke moecke@ifsc.edu.br 1 / 94 Reference These slides are based on the material made

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

2. Accelerated Computations

2. Accelerated Computations 2. Accelerated Computations 2.1. Bent Function Enumeration by a Circular Pipeline Implemented on an FPGA Stuart W. Schneider Jon T. Butler 2.1.1. Background A naive approach to encoding a plaintext message

More information

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 9. Datapath Design Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 2, 2017 ECE Department, University of Texas at Austin

More information

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation

Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation 1 Cycle Error Correction in Asynchronous Clock Modeling for Cycle-Based Simulation Junghee Lee and Joonhwan Yi Telecommunication R&D Center Samsung Electronics {junghee77.lee, joonhwan.yi} @ samsung.com

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Objective: To become acquainted with the basic concepts of cyclic codes and some aspects of encoder implementations for them.

Objective: To become acquainted with the basic concepts of cyclic codes and some aspects of encoder implementations for them. ECE 7670 Lecture 5 Cyclic codes Objective: To become acquainted with the basic concepts of cyclic codes and some aspects of encoder implementations for them. Reading: Chapter 5. 1 Cyclic codes Definition

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

Shift Register Counters

Shift Register Counters Shift Register Counters Shift register counter: a shift register with the serial output connected back to the serial input. They are classified as counters because they give a specified sequence of states.

More information

Ch 7 Summary - POLYNOMIAL FUNCTIONS

Ch 7 Summary - POLYNOMIAL FUNCTIONS Ch 7 Summary - POLYNOMIAL FUNCTIONS 1. An open-top box is to be made by cutting congruent squares of side length x from the corners of a 8.5- by 11-inch sheet of cardboard and bending up the sides. a)

More information

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m )

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m ) Transition Faults Detection in Bit Parallel Multipliers over GF( m ) Hafizur Rahaman Bengal Engineering & Science University, Shibpur Howrah-73, India rahaman_h@it.becs.ac.in Jimson Mathew Computer Science

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

1 Reed Solomon Decoder Final Project. Group 3 Abhinav Agarwal S Branavan Grant Elliott. 14 th May 2007

1 Reed Solomon Decoder Final Project. Group 3 Abhinav Agarwal S Branavan Grant Elliott. 14 th May 2007 1 Reed Solomon Decoder 6.375 Final Project Group 3 Abhinav Agarwal S Branavan Grant Elliott 14 th May 2007 2 Outline Error Correcting Codes Mathematical Foundation of Reed Solomon Codes Decoder Architecture

More information

FPGA Implementation of a Predictive Controller

FPGA Implementation of a Predictive Controller FPGA Implementation of a Predictive Controller SIAM Conference on Optimization 2011, Darmstadt, Germany Minisymposium on embedded optimization Juan L. Jerez, George A. Constantinides and Eric C. Kerrigan

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

A Brief Encounter with Linear Codes

A Brief Encounter with Linear Codes Boise State University ScholarWorks Mathematics Undergraduate Theses Department of Mathematics 8-2014 A Brief Encounter with Linear Codes Brent El-Bakri Boise State University, brentelbakri@boisestate.edu

More information